From 3998aa75547a833ff41f4c7a0a0c2741f441fed2 Mon Sep 17 00:00:00 2001 From: LongHairedHacker Date: Sat, 20 Nov 2021 20:09:33 +0100 Subject: [PATCH] Initial commit --- .gitignore | 19 + c4550.dcm | 3 + c4550.lib | 21 + cheapsdo-cache.lib | 359 ++++++++++++++ cheapsdo.kicad_pcb | 1 + cheapsdo.pro | 33 ++ cheapsdo.sch | 1180 ++++++++++++++++++++++++++++++++++++++++++++ sym-lib-table | 4 + 8 files changed, 1620 insertions(+) create mode 100644 .gitignore create mode 100644 c4550.dcm create mode 100644 c4550.lib create mode 100644 cheapsdo-cache.lib create mode 100644 cheapsdo.kicad_pcb create mode 100644 cheapsdo.pro create mode 100644 cheapsdo.sch create mode 100644 sym-lib-table diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..77fa150 --- /dev/null +++ b/.gitignore @@ -0,0 +1,19 @@ +# Temporary files +*.000 +*.bak +*.bck +*.kicad_pcb-bak +*.kicad_sch-bak +*.kicad_prl +*.sch-bak +*~ +_autosave-* +*.tmp +*-save.pro +*-save.kicad_pcb +fp-info-cache + +# Netlist files (exported from Eeschema) +*.net + + diff --git a/c4550.dcm b/c4550.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/c4550.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/c4550.lib b/c4550.lib new file mode 100644 index 0000000..f7e01f6 --- /dev/null +++ b/c4550.lib @@ -0,0 +1,21 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# C4550 +# +DEF C4550 U 0 40 Y Y 1 F N +F0 "U" 0 -50 50 H V C CNN +F1 "C4550" 0 50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S 350 300 -350 -300 0 1 0 N +X Out 1 550 0 200 L 50 50 1 1 O +X GND 2 0 -500 200 U 50 50 1 1 W +X FEC 3 -550 200 200 R 50 50 1 1 I +X VRef 4 -550 -200 200 R 50 50 1 1 O +X Vcc 5 0 500 200 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +#End Library diff --git a/cheapsdo-cache.lib b/cheapsdo-cache.lib new file mode 100644 index 0000000..4d0365a --- /dev/null +++ b/cheapsdo-cache.lib @@ -0,0 +1,359 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# 74xx_74HC14 +# +DEF 74xx_74HC14 U 0 40 Y Y 7 L N +F0 "U" 0 50 50 H V C CNN +F1 "74xx_74HC14" 0 -50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74LS14 +$FPLIST + DIP*W7.62mm* +$ENDFPLIST +DRAW +S -200 300 200 -300 7 1 10 f +P 4 1 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 2 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 3 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 4 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 5 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 6 0 10 -150 150 -150 -150 150 0 -150 150 f +P 3 1 1 0 -75 -50 -75 50 -25 50 N +P 4 1 1 0 -100 -50 -25 -50 -25 50 0 50 N +P 3 2 1 0 -75 -50 -75 50 -25 50 N +P 4 2 1 0 -100 -50 -25 -50 -25 50 0 50 N +P 3 3 1 0 -75 -50 -75 50 -25 50 N +P 4 3 1 0 -100 -50 -25 -50 -25 50 0 50 N +P 3 4 1 0 -75 -50 -75 50 -25 50 N +P 4 4 1 0 -100 -50 -25 -50 -25 50 0 50 N +P 3 5 1 0 -75 -50 -75 50 -25 50 N +P 4 5 1 0 -100 -50 -25 -50 -25 50 0 50 N +P 3 6 1 0 -75 -50 -75 50 -25 50 N +P 4 6 1 0 -100 -50 -25 -50 -25 50 0 50 N +X ~ 1 -300 0 150 R 50 50 1 0 I +X ~ 2 300 0 150 L 50 50 1 0 O I +X ~ 3 -300 0 150 R 50 50 2 0 I +X ~ 4 300 0 150 L 50 50 2 0 O I +X ~ 5 -300 0 150 R 50 50 3 0 I +X ~ 6 300 0 150 L 50 50 3 0 O I +X ~ 8 300 0 150 L 50 50 4 0 O I +X ~ 9 -300 0 150 R 50 50 4 0 I +X ~ 10 300 0 150 L 50 50 5 0 O I +X ~ 11 -300 0 150 R 50 50 5 0 I +X ~ 12 300 0 150 L 50 50 6 0 O I +X ~ 13 -300 0 150 R 50 50 6 0 I +X VCC 14 0 500 200 D 50 50 7 0 W +X GND 7 0 -500 200 U 50 50 7 0 W +ENDDRAW +ENDDEF +# +# Amplifier_Operational_LM358 +# +DEF Amplifier_Operational_LM358 U 0 5 Y Y 3 L N +F0 "U" 0 200 50 H V L CNN +F1 "Amplifier_Operational_LM358" 0 -200 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS LM358 AD8620 LMC6062 LMC6082 TL062 TL072 TL082 NE5532 SA5532 RC4558 RC4560 RC4580 LMV358 TS912 TSV912IDT TSV912IST TLC272 TLC277 MCP602 OPA1678 OPA2134 OPA2340 OPA2376xxD OPA2376xxDGK MC33078 MC33178 LM4562 OP249 OP275 ADA4075-2 MCP6002-xP MCP6002-xSN MCP6002-xMS LM7332 OPA2333xxD OPA2333xxDGK LMC6482 LT1492 LTC6081xMS8 LM6172 MCP6L92 NJM2043 NJM2114 NJM4556A NJM4558 NJM4559 NJM4560 NJM4580 NJM5532 ADA4807-2ARM OPA2691 LT6234 OPA2356xxD OPA2356xxDGK OPA1612AxD MC33172 OPA1602 TLV2372 LT6237 OPA2277 MCP6022 MCP6V67EMS +$FPLIST + SOIC*3.9x4.9mm*P1.27mm* + DIP*W7.62mm* + TO*99* + OnSemi*Micro8* + TSSOP*3x3mm*P0.65mm* + TSSOP*4.4x3mm*P0.65mm* + MSOP*3x3mm*P0.65mm* + SSOP*3.9x4.9mm*P0.635mm* + LFCSP*2x2mm*P0.5mm* + *SIP* + SOIC*5.3x6.2mm*P1.27mm* +$ENDFPLIST +DRAW +P 4 1 1 10 -200 200 200 0 -200 -200 -200 200 f +P 4 2 1 10 -200 200 200 0 -200 -200 -200 200 f +X ~ 1 300 0 100 L 50 50 1 1 O +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X + 5 -300 100 100 R 50 50 2 1 I +X - 6 -300 -100 100 R 50 50 2 1 I +X ~ 7 300 0 100 L 50 50 2 1 O +X V- 4 -100 -300 150 U 50 50 3 1 W +X V+ 8 -100 300 150 D 50 50 3 1 W +ENDDRAW +ENDDEF +# +# Connector_Conn_Coaxial +# +DEF Connector_Conn_Coaxial J 0 40 Y N 1 F N +F0 "J" 10 120 50 H V C CNN +F1 "Connector_Conn_Coaxial" 115 0 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + *BNC* + *SMA* + *SMB* + *SMC* + *Cinch* +$ENDFPLIST +DRAW +A -2 0 71 1636 0 0 1 10 N -70 20 70 0 +A -1 0 71 0 -1638 0 1 10 N 70 0 -70 -20 +C 0 0 20 0 1 8 N +P 2 0 1 0 -100 0 -20 0 N +P 2 0 1 0 0 -100 0 -70 N +X In 1 -200 0 100 R 50 50 1 1 P +X Ext 2 0 -200 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_01x05 +# +DEF Connector_Generic_Conn_01x05 J 0 40 Y N 1 F N +F0 "J" 0 300 50 H V C CNN +F1 "Connector_Generic_Conn_01x05" 0 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_1x??_* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 250 50 -250 1 1 10 f +X Pin_1 1 -200 200 150 R 50 50 1 1 P +X Pin_2 2 -200 100 150 R 50 50 1 1 P +X Pin_3 3 -200 0 150 R 50 50 1 1 P +X Pin_4 4 -200 -100 150 R 50 50 1 1 P +X Pin_5 5 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C +# +DEF Device_C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_CP1 +# +DEF Device_CP1 C 0 10 N N 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_CP1" 25 -100 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + CP_* +$ENDFPLIST +DRAW +A 0 -150 128 1287 513 0 1 20 N -80 -50 80 -50 +P 2 0 1 20 -80 30 80 30 N +P 2 0 1 0 -70 90 -30 90 N +P 2 0 1 0 -50 70 -50 110 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 130 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_Crystal_Small +# +DEF Device_Crystal_Small Y 0 40 N N 1 F N +F0 "Y" 0 100 50 H V C CNN +F1 "Device_Crystal_Small" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Crystal* +$ENDFPLIST +DRAW +S -30 -60 30 60 0 1 0 N +P 2 0 1 15 -50 -30 -50 30 N +P 2 0 1 15 50 -30 50 30 N +X 1 1 -100 0 50 R 50 50 1 1 P +X 2 2 100 0 50 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_LED +# +DEF Device_LED D 0 40 N N 1 F N +F0 "D" 0 100 50 H V C CNN +F1 "Device_LED" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + LED* + LED_SMD:* + LED_THT:* +$ENDFPLIST +DRAW +P 2 0 1 10 -50 -50 -50 50 N +P 2 0 1 0 -50 0 50 0 N +P 4 0 1 10 50 -50 50 50 -50 0 50 -50 N +P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N +P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N +X K 1 -150 0 100 R 50 50 1 1 P +X A 2 150 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R +# +DEF Device_R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "Device_R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# MCU_ST_STM32F1_STM32F103C8Tx +# +DEF MCU_ST_STM32F1_STM32F103C8Tx U 0 20 Y Y 1 F N +F0 "U" -600 1450 50 H V L CNN +F1 "MCU_ST_STM32F1_STM32F103C8Tx" 300 1450 50 H V L CNN +F2 "Package_QFP:LQFP-48_7x7mm_P0.5mm" -600 -1400 50 H I R CNN +F3 "" 0 0 50 H I C CNN +ALIAS STM32F103CBTx +$FPLIST + LQFP*7x7mm*P0.5mm* +$ENDFPLIST +DRAW +S -600 -1400 500 1400 0 1 10 f +X VBAT 1 -200 1500 100 D 50 50 1 1 W +X PA0 10 600 200 100 L 50 50 1 1 B +X PA1 11 600 100 100 L 50 50 1 1 B +X PA2 12 600 0 100 L 50 50 1 1 B +X PA3 13 600 -100 100 L 50 50 1 1 B +X PA4 14 600 -200 100 L 50 50 1 1 B +X PA5 15 600 -300 100 L 50 50 1 1 B +X PA6 16 600 -400 100 L 50 50 1 1 B +X PA7 17 600 -500 100 L 50 50 1 1 B +X PB0 18 -700 200 100 R 50 50 1 1 B +X PB1 19 -700 100 100 R 50 50 1 1 B +X PC13 2 -700 600 100 R 50 50 1 1 B +X PB2 20 -700 0 100 R 50 50 1 1 B +X PB10 21 -700 -800 100 R 50 50 1 1 B +X PB11 22 -700 -900 100 R 50 50 1 1 B +X VSS 23 -200 -1500 100 U 50 50 1 1 W +X VDD 24 -100 1500 100 D 50 50 1 1 W +X PB12 25 -700 -1000 100 R 50 50 1 1 B +X PB13 26 -700 -1100 100 R 50 50 1 1 B +X PB14 27 -700 -1200 100 R 50 50 1 1 B +X PB15 28 -700 -1300 100 R 50 50 1 1 B +X PA8 29 600 -600 100 L 50 50 1 1 B +X PC14 3 -700 500 100 R 50 50 1 1 B +X PA9 30 600 -700 100 L 50 50 1 1 B +X PA10 31 600 -800 100 L 50 50 1 1 B +X PA11 32 600 -900 100 L 50 50 1 1 B +X PA12 33 600 -1000 100 L 50 50 1 1 B +X PA13 34 600 -1100 100 L 50 50 1 1 B +X VSS 35 -100 -1500 100 U 50 50 1 1 W +X VDD 36 0 1500 100 D 50 50 1 1 W +X PA14 37 600 -1200 100 L 50 50 1 1 B +X PA15 38 600 -1300 100 L 50 50 1 1 B +X PB3 39 -700 -100 100 R 50 50 1 1 B +X PC15 4 -700 400 100 R 50 50 1 1 B +X PB4 40 -700 -200 100 R 50 50 1 1 B +X PB5 41 -700 -300 100 R 50 50 1 1 B +X PB6 42 -700 -400 100 R 50 50 1 1 B +X PB7 43 -700 -500 100 R 50 50 1 1 B +X BOOT0 44 -700 1100 100 R 50 50 1 1 I +X PB8 45 -700 -600 100 R 50 50 1 1 B +X PB9 46 -700 -700 100 R 50 50 1 1 B +X VSS 47 0 -1500 100 U 50 50 1 1 W +X VDD 48 100 1500 100 D 50 50 1 1 W +X PD0 5 -700 900 100 R 50 50 1 1 I +X PD1 6 -700 800 100 R 50 50 1 1 I +X NRST 7 -700 1300 100 R 50 50 1 1 I +X VSSA 8 100 -1500 100 U 50 50 1 1 W +X VDDA 9 200 1500 100 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# c4550_C4550 +# +DEF c4550_C4550 U 0 40 Y Y 1 F N +F0 "U" 0 -50 50 H V C CNN +F1 "c4550_C4550" 0 50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S 350 300 -350 -300 0 1 0 N +X Out 1 550 0 200 L 50 50 1 1 O +X GND 2 0 -500 200 U 50 50 1 1 W +X FEC 3 -550 200 200 R 50 50 1 1 I +X VRef 4 -550 -200 200 R 50 50 1 1 O +X Vcc 5 0 500 200 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# power_+3.3V +# +DEF power_+3.3V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3.3V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/cheapsdo.kicad_pcb b/cheapsdo.kicad_pcb new file mode 100644 index 0000000..02c8ecb --- /dev/null +++ b/cheapsdo.kicad_pcb @@ -0,0 +1 @@ +(kicad_pcb (version 4) (host kicad "dummy file") ) diff --git a/cheapsdo.pro b/cheapsdo.pro new file mode 100644 index 0000000..152769c --- /dev/null +++ b/cheapsdo.pro @@ -0,0 +1,33 @@ +update=22/05/2015 07:44:53 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] diff --git a/cheapsdo.sch b/cheapsdo.sch new file mode 100644 index 0000000..d07031a --- /dev/null +++ b/cheapsdo.sch @@ -0,0 +1,1180 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L 74xx:74HC14 U? +U 1 1 618FEB92 +P 5950 1550 +F 0 "U?" H 5950 1867 50 0000 C CNN +F 1 "74HC14" H 5950 1776 50 0000 C CNN +F 2 "" H 5950 1550 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 5950 1550 50 0001 C CNN + 1 5950 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5500 1550 5650 1550 +Wire Wire Line + 6250 1550 6350 1550 +Text GLabel 7050 1550 2 50 Input ~ 0 +10MHz +$Comp +L 74xx:74HC14 U? +U 1 1 6190A8C7 +P 6650 1550 +F 0 "U?" H 6650 1867 50 0000 C CNN +F 1 "74HC14" H 6650 1776 50 0000 C CNN +F 2 "" H 6650 1550 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 6650 1550 50 0001 C CNN + 1 6650 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6950 1550 7050 1550 +$Comp +L 74xx:74HC14 U? +U 1 1 6192B519 +P 9450 3650 +F 0 "U?" H 9450 3967 50 0000 C CNN +F 1 "74HC14" H 9450 3876 50 0000 C CNN +F 2 "" H 9450 3650 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9450 3650 50 0001 C CNN + 1 9450 3650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8550 3650 9100 3650 +Wire Wire Line + 9100 3650 9100 4150 +Wire Wire Line + 9100 4150 9150 4150 +Wire Wire Line + 9100 3650 9150 3650 +Connection ~ 9100 3650 +$Comp +L Device:R R? +U 1 1 6192B52A +P 10000 3650 +F 0 "R?" V 9793 3650 50 0000 C CNN +F 1 "100" V 9884 3650 50 0000 C CNN +F 2 "" V 9930 3650 50 0001 C CNN +F 3 "~" H 10000 3650 50 0001 C CNN + 1 10000 3650 + 0 1 1 0 +$EndComp +$Comp +L Device:R R? +U 1 1 6192B530 +P 10000 4150 +F 0 "R?" V 9793 4150 50 0000 C CNN +F 1 "100" V 9884 4150 50 0000 C CNN +F 2 "" V 9930 4150 50 0001 C CNN +F 3 "~" H 10000 4150 50 0001 C CNN + 1 10000 4150 + 0 1 1 0 +$EndComp +$Comp +L Connector:Conn_Coaxial J? +U 1 1 6192B536 +P 10550 3650 +F 0 "J?" H 10650 3625 50 0000 L CNN +F 1 "Conn_Coaxial" H 10650 3534 50 0000 L CNN +F 2 "" H 10550 3650 50 0001 C CNN +F 3 " ~" H 10550 3650 50 0001 C CNN + 1 10550 3650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9750 3650 9850 3650 +Wire Wire Line + 9750 4150 9850 4150 +Wire Wire Line + 10150 3650 10250 3650 +Wire Wire Line + 10250 3650 10250 4150 +Wire Wire Line + 10250 4150 10150 4150 +Connection ~ 10250 3650 +Wire Wire Line + 10250 3650 10350 3650 +Wire Wire Line + 10550 3850 10550 4100 +$Comp +L power:GND #PWR? +U 1 1 6192B544 +P 10550 4100 +F 0 "#PWR?" H 10550 3850 50 0001 C CNN +F 1 "GND" H 10555 3927 50 0000 C CNN +F 2 "" H 10550 4100 50 0001 C CNN +F 3 "" H 10550 4100 50 0001 C CNN + 1 10550 4100 + 1 0 0 -1 +$EndComp +Text GLabel 8550 3650 0 50 Input ~ 0 +10MHz +$Comp +L power:GND #PWR? +U 1 1 61948522 +P 4950 2200 +F 0 "#PWR?" H 4950 1950 50 0001 C CNN +F 1 "GND" H 4955 2027 50 0000 C CNN +F 2 "" H 4950 2200 50 0001 C CNN +F 3 "" H 4950 2200 50 0001 C CNN + 1 4950 2200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4950 2050 4950 2200 +$Comp +L power:+5V #PWR? +U 1 1 61949193 +P 4950 850 +F 0 "#PWR?" H 4950 700 50 0001 C CNN +F 1 "+5V" H 4965 1023 50 0000 C CNN +F 2 "" H 4950 850 50 0001 C CNN +F 3 "" H 4950 850 50 0001 C CNN + 1 4950 850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4950 1050 4950 850 +$Comp +L Amplifier_Operational:LM358 U? +U 1 1 6194AF3E +P 1850 1250 +F 0 "U?" H 1850 1617 50 0000 C CNN +F 1 "LM358" H 1850 1526 50 0000 C CNN +F 2 "" H 1850 1250 50 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" H 1850 1250 50 0001 C CNN + 1 1850 1250 + 1 0 0 -1 +$EndComp +Text GLabel 1350 1150 0 50 Input ~ 0 +FEC-PWM +Wire Wire Line + 1350 1150 1550 1150 +$Comp +L Device:R R? +U 1 1 6195CE3B +P 1850 1650 +F 0 "R?" V 1643 1650 50 0000 C CNN +F 1 "100k" V 1734 1650 50 0000 C CNN +F 2 "" V 1780 1650 50 0001 C CNN +F 3 "~" H 1850 1650 50 0001 C CNN + 1 1850 1650 + 0 1 1 0 +$EndComp +Wire Wire Line + 1550 1350 1450 1350 +Wire Wire Line + 1450 1350 1450 1650 +Wire Wire Line + 1450 1650 1700 1650 +Wire Wire Line + 2000 1650 2250 1650 +Wire Wire Line + 2250 1650 2250 1250 +Wire Wire Line + 2250 1250 2150 1250 +$Comp +L Device:R R? +U 1 1 6195FA2F +P 1450 1950 +F 0 "R?" H 1520 1996 50 0000 L CNN +F 1 "120k" H 1520 1905 50 0000 L CNN +F 2 "" V 1380 1950 50 0001 C CNN +F 3 "~" H 1450 1950 50 0001 C CNN + 1 1450 1950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1450 1800 1450 1650 +Connection ~ 1450 1650 +$Comp +L Device:R R? +U 1 1 6196921D +P 2450 1250 +F 0 "R?" V 2243 1250 50 0000 C CNN +F 1 "220k" V 2334 1250 50 0000 C CNN +F 2 "" V 2380 1250 50 0001 C CNN +F 3 "~" H 2450 1250 50 0001 C CNN + 1 2450 1250 + 0 1 1 0 +$EndComp +$Comp +L Device:CP1 C? +U 1 1 61969C35 +P 2750 1550 +F 0 "C?" H 2865 1596 50 0000 L CNN +F 1 "1uF" H 2865 1505 50 0000 L CNN +F 2 "" H 2750 1550 50 0001 C CNN +F 3 "~" H 2750 1550 50 0001 C CNN + 1 2750 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3200 1450 3050 1450 +Wire Wire Line + 3050 1450 3050 1650 +Wire Wire Line + 3050 1650 3900 1650 +Wire Wire Line + 3900 1650 3900 1350 +Wire Wire Line + 3900 1350 3800 1350 +Wire Wire Line + 3900 1350 4400 1350 +Connection ~ 3900 1350 +Wire Wire Line + 2250 1250 2300 1250 +Connection ~ 2250 1250 +Wire Wire Line + 2600 1250 2750 1250 +Wire Wire Line + 2750 1250 2750 1400 +Wire Wire Line + 2750 2200 2750 1700 +Wire Wire Line + 1450 2100 1450 2200 +Wire Wire Line + 2750 1250 3200 1250 +Connection ~ 2750 1250 +$Comp +L power:GND #PWR? +U 1 1 61975A42 +P 2750 2200 +F 0 "#PWR?" H 2750 1950 50 0001 C CNN +F 1 "GND" H 2755 2027 50 0000 C CNN +F 2 "" H 2750 2200 50 0001 C CNN +F 3 "" H 2750 2200 50 0001 C CNN + 1 2750 2200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 61976249 +P 1450 2200 +F 0 "#PWR?" H 1450 1950 50 0001 C CNN +F 1 "GND" H 1455 2027 50 0000 C CNN +F 2 "" H 1450 2200 50 0001 C CNN +F 3 "" H 1450 2200 50 0001 C CNN + 1 1450 2200 + 1 0 0 -1 +$EndComp +$Comp +L MCU_ST_STM32F1:STM32F103C8Tx U1 +U 1 1 5F7A07F5 +P 4200 5250 +F 0 "U1" H 4600 3800 50 0000 C CNN +F 1 "STM32F103C8Tx" H 4650 3700 50 0000 C CNN +F 2 "Package_QFP:LQFP-48_7x7mm_P0.5mm" H 3600 3850 50 0001 R CNN +F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/CD00161566.pdf" H 4200 5250 50 0001 C CNN + 1 4200 5250 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R3 +U 1 1 5F7A2BF3 +P 1950 4150 +F 0 "R3" V 2065 4150 50 0000 C CNN +F 1 "10k" V 2156 4150 50 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1880 4150 50 0001 C CNN +F 3 "~" H 1950 4150 50 0001 C CNN + 1 1950 4150 + 0 1 1 0 +$EndComp +$Comp +L Device:R R2 +U 1 1 5F7A4452 +P 1750 5250 +F 0 "R2" V 1543 5250 50 0000 C CNN +F 1 "10k" V 1634 5250 50 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1680 5250 50 0001 C CNN +F 3 "~" H 1750 5250 50 0001 C CNN + 1 1750 5250 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR03 +U 1 1 5F7A4D7D +P 1500 5350 +F 0 "#PWR03" H 1500 5100 50 0001 C CNN +F 1 "GND" H 1505 5177 50 0000 C CNN +F 2 "" H 1500 5350 50 0001 C CNN +F 3 "" H 1500 5350 50 0001 C CNN + 1 1500 5350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1700 4200 1700 4150 +Wire Wire Line + 1700 4150 1800 4150 +Wire Wire Line + 2100 4150 3500 4150 +Wire Wire Line + 1500 5250 1600 5250 +Wire Wire Line + 1500 5250 1500 5350 +Wire Wire Line + 1900 5250 3500 5250 +$Comp +L Device:C C2 +U 1 1 5F7ADA8A +P 2300 4750 +F 0 "C2" H 2186 4796 50 0000 R CNN +F 1 "22pf" H 2186 4705 50 0000 R CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 2338 4600 50 0001 C CNN +F 3 "~" H 2300 4750 50 0001 C CNN + 1 2300 4750 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR06 +U 1 1 5F7C02C2 +P 1700 4200 +F 0 "#PWR06" H 1700 3950 50 0001 C CNN +F 1 "GND" H 1705 4027 50 0000 C CNN +F 2 "" H 1700 4200 50 0001 C CNN +F 3 "" H 1700 4200 50 0001 C CNN + 1 1700 4200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR09 +U 1 1 5F7C0597 +P 2300 4950 +F 0 "#PWR09" H 2300 4700 50 0001 C CNN +F 1 "GND" H 2305 4777 50 0000 C CNN +F 2 "" H 2300 4950 50 0001 C CNN +F 3 "" H 2300 4950 50 0001 C CNN + 1 2300 4950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2300 4950 2300 4900 +Wire Wire Line + 2650 4950 2650 4900 +$Comp +L power:GND #PWR010 +U 1 1 5F7C18D8 +P 2650 4950 +F 0 "#PWR010" H 2650 4700 50 0001 C CNN +F 1 "GND" H 2655 4777 50 0000 C CNN +F 2 "" H 2650 4950 50 0001 C CNN +F 3 "" H 2650 4950 50 0001 C CNN + 1 2650 4950 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C3 +U 1 1 5F7AE3C4 +P 2650 4750 +F 0 "C3" H 2765 4796 50 0000 L CNN +F 1 "22pf" H 2765 4705 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 2688 4600 50 0001 C CNN +F 3 "~" H 2650 4750 50 0001 C CNN + 1 2650 4750 + 1 0 0 -1 +$EndComp +$Comp +L Device:Crystal_Small Y1 +U 1 1 5F7CE1A3 +P 2500 4450 +F 0 "Y1" H 2500 4700 50 0000 C CNN +F 1 "8MHz" H 2500 4600 50 0000 C CNN +F 2 "Crystal:Crystal_SMD_HC49-SD" H 2500 4450 50 0001 C CNN +F 3 "~" H 2500 4450 50 0001 C CNN + 1 2500 4450 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2300 4350 2300 4450 +Wire Wire Line + 2300 4450 2400 4450 +Connection ~ 2300 4450 +Wire Wire Line + 2300 4450 2300 4600 +Wire Wire Line + 2600 4450 2650 4450 +Wire Wire Line + 2650 4450 2650 4600 +Connection ~ 2650 4450 +Wire Wire Line + 2650 4450 3500 4450 +Wire Wire Line + 2300 4350 3500 4350 +Wire Wire Line + 4100 3750 4100 3550 +Wire Wire Line + 4100 3550 4200 3550 +Wire Wire Line + 4400 3550 4400 3750 +Wire Wire Line + 4300 3750 4300 3550 +Connection ~ 4300 3550 +Wire Wire Line + 4300 3550 4400 3550 +Wire Wire Line + 4200 3750 4200 3550 +Connection ~ 4200 3550 +Wire Wire Line + 4200 3550 4250 3550 +Wire Wire Line + 4250 3550 4250 3400 +Connection ~ 4250 3550 +Wire Wire Line + 4250 3550 4300 3550 +$Comp +L power:+3.3V #PWR015 +U 1 1 5F7DAD8C +P 4250 3300 +F 0 "#PWR015" H 4250 3150 50 0001 C CNN +F 1 "+3.3V" H 4265 3473 50 0000 C CNN +F 2 "" H 4250 3300 50 0001 C CNN +F 3 "" H 4250 3300 50 0001 C CNN + 1 4250 3300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4000 6750 4000 6800 +Wire Wire Line + 4000 6800 4100 6800 +Wire Wire Line + 4300 6800 4300 6750 +Wire Wire Line + 4200 6800 4200 6750 +Connection ~ 4200 6800 +Wire Wire Line + 4200 6800 4300 6800 +Wire Wire Line + 4100 6800 4100 6750 +Connection ~ 4100 6800 +Wire Wire Line + 4100 6800 4150 6800 +Wire Wire Line + 4150 6800 4150 7050 +Connection ~ 4150 6800 +Wire Wire Line + 4150 6800 4200 6800 +$Comp +L power:GND #PWR014 +U 1 1 5F7DCBF1 +P 4150 7050 +F 0 "#PWR014" H 4150 6800 50 0001 C CNN +F 1 "GND" H 4155 6877 50 0000 C CNN +F 2 "" H 4150 7050 50 0001 C CNN +F 3 "" H 4150 7050 50 0001 C CNN + 1 4150 7050 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C6 +U 1 1 5F7DD8CB +P 4900 3700 +F 0 "C6" H 5015 3746 50 0000 L CNN +F 1 "100nF" H 5015 3655 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 4938 3550 50 0001 C CNN +F 3 "~" H 4900 3700 50 0001 C CNN + 1 4900 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C7 +U 1 1 5F7DE3A4 +P 5400 3700 +F 0 "C7" H 5515 3746 50 0000 L CNN +F 1 "100nF" H 5515 3655 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 5438 3550 50 0001 C CNN +F 3 "~" H 5400 3700 50 0001 C CNN + 1 5400 3700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4250 3400 4900 3400 +Wire Wire Line + 4900 3400 4900 3550 +Connection ~ 4250 3400 +Wire Wire Line + 4250 3400 4250 3300 +Wire Wire Line + 4900 3400 5400 3400 +Wire Wire Line + 5400 3400 5400 3550 +Connection ~ 4900 3400 +Wire Wire Line + 5400 3850 5400 4050 +Wire Wire Line + 4900 3850 4900 4050 +$Comp +L power:GND #PWR020 +U 1 1 5F7E0CFF +P 5400 4050 +F 0 "#PWR020" H 5400 3800 50 0001 C CNN +F 1 "GND" H 5405 3877 50 0000 C CNN +F 2 "" H 5400 4050 50 0001 C CNN +F 3 "" H 5400 4050 50 0001 C CNN + 1 5400 4050 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR018 +U 1 1 5F7E12CF +P 4900 4050 +F 0 "#PWR018" H 4900 3800 50 0001 C CNN +F 1 "GND" H 4905 3877 50 0000 C CNN +F 2 "" H 4900 4050 50 0001 C CNN +F 3 "" H 4900 4050 50 0001 C CNN + 1 4900 4050 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R1 +U 1 1 5F7F8B54 +P 1400 3700 +F 0 "R1" H 1470 3746 50 0000 L CNN +F 1 "10k" H 1470 3655 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1330 3700 50 0001 C CNN +F 3 "~" H 1400 3700 50 0001 C CNN + 1 1400 3700 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C1 +U 1 1 5F7F9910 +P 1400 4200 +F 0 "C1" H 1515 4246 50 0000 L CNN +F 1 "100nF" H 1515 4155 50 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 1438 4050 50 0001 C CNN +F 3 "~" H 1400 4200 50 0001 C CNN + 1 1400 4200 + 1 0 0 -1 +$EndComp +Text Label 3150 5250 0 50 ~ 0 +BOOT1 +Text Label 3200 4150 0 50 ~ 0 +BOOT0 +Wire Wire Line + 1400 3950 1400 4050 +Wire Wire Line + 1400 3950 3500 3950 +Wire Wire Line + 1400 3950 1400 3850 +Connection ~ 1400 3950 +Wire Wire Line + 1400 4350 1400 4400 +$Comp +L power:GND #PWR02 +U 1 1 5F800499 +P 1400 4400 +F 0 "#PWR02" H 1400 4150 50 0001 C CNN +F 1 "GND" H 1405 4227 50 0000 C CNN +F 2 "" H 1400 4400 50 0001 C CNN +F 3 "" H 1400 4400 50 0001 C CNN + 1 1400 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1400 3550 1400 3500 +$Comp +L power:+3.3V #PWR01 +U 1 1 5F801FBE +P 1400 3500 +F 0 "#PWR01" H 1400 3350 50 0001 C CNN +F 1 "+3.3V" H 1415 3673 50 0000 C CNN +F 2 "" H 1400 3500 50 0001 C CNN +F 3 "" H 1400 3500 50 0001 C CNN + 1 1400 3500 + 1 0 0 -1 +$EndComp +Text GLabel 1300 3950 0 50 Input ~ 0 +NRST +Wire Wire Line + 1300 3950 1400 3950 +Text GLabel 4900 6350 2 50 Input ~ 0 +SWDIO +Text GLabel 4900 6450 2 50 Input ~ 0 +SWCLK +Wire Wire Line + 4800 6350 4900 6350 +Wire Wire Line + 4800 6450 4900 6450 +$Comp +L Connector_Generic:Conn_01x05 J1 +U 1 1 5F8142A4 +P 950 6700 +F 0 "J1" H 868 7117 50 0000 C CNN +F 1 "Conn_01x05" H 868 7026 50 0000 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical" H 950 6700 50 0001 C CNN +F 3 "~" H 950 6700 50 0001 C CNN + 1 950 6700 + -1 0 0 -1 +$EndComp +Wire Wire Line + 1150 6500 1550 6500 +Wire Wire Line + 1550 6500 1550 6200 +Wire Wire Line + 1150 6900 1550 6900 +Wire Wire Line + 1550 6900 1550 7050 +$Comp +L power:GND #PWR05 +U 1 1 5F81C2EB +P 1550 7050 +F 0 "#PWR05" H 1550 6800 50 0001 C CNN +F 1 "GND" H 1555 6877 50 0000 C CNN +F 2 "" H 1550 7050 50 0001 C CNN +F 3 "" H 1550 7050 50 0001 C CNN + 1 1550 7050 + 1 0 0 -1 +$EndComp +$Comp +L power:+3.3V #PWR04 +U 1 1 5F81C77E +P 1550 6200 +F 0 "#PWR04" H 1550 6050 50 0001 C CNN +F 1 "+3.3V" H 1565 6373 50 0000 C CNN +F 2 "" H 1550 6200 50 0001 C CNN +F 3 "" H 1550 6200 50 0001 C CNN + 1 1550 6200 + 1 0 0 -1 +$EndComp +Text GLabel 1250 6600 2 50 Input ~ 0 +NRST +Text GLabel 1250 6700 2 50 Input ~ 0 +SWDIO +Text GLabel 1250 6800 2 50 Input ~ 0 +SWCLK +Wire Wire Line + 1150 6600 1250 6600 +Wire Wire Line + 1150 6700 1250 6700 +Wire Wire Line + 1150 6800 1250 6800 +Text GLabel 3450 4650 0 50 Input ~ 0 +STATUS_LED +Wire Wire Line + 3450 4650 3500 4650 +Text GLabel 2000 6000 1 50 Input ~ 0 +STATUS_LED +Wire Wire Line + 2000 6000 2000 6200 +$Comp +L Device:R R4 +U 1 1 5F9B7CC9 +P 2000 6350 +F 0 "R4" H 2070 6396 50 0000 L CNN +F 1 "1k" H 2070 6305 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 1930 6350 50 0001 C CNN +F 3 "~" H 2000 6350 50 0001 C CNN + 1 2000 6350 + 1 0 0 -1 +$EndComp +$Comp +L Device:LED D1 +U 1 1 5F9B8449 +P 2000 6750 +F 0 "D1" V 2039 6632 50 0000 R CNN +F 1 "LED" V 1948 6632 50 0000 R CNN +F 2 "LED_SMD:LED_0603_1608Metric" H 2000 6750 50 0001 C CNN +F 3 "~" H 2000 6750 50 0001 C CNN + 1 2000 6750 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2000 6500 2000 6600 +Wire Wire Line + 2000 6900 2000 7050 +$Comp +L power:GND #PWR08 +U 1 1 5F9BD97B +P 2000 7050 +F 0 "#PWR08" H 2000 6800 50 0001 C CNN +F 1 "GND" H 2005 6877 50 0000 C CNN +F 2 "" H 2000 7050 50 0001 C CNN +F 3 "" H 2000 7050 50 0001 C CNN + 1 2000 7050 + 1 0 0 -1 +$EndComp +Text GLabel 3400 5350 0 50 Input ~ 0 +ENC_BUTTON +Wire Wire Line + 3400 5350 3500 5350 +Text GLabel 3400 5450 0 50 Input ~ 0 +ENC_A +Text GLabel 3400 5550 0 50 Input ~ 0 +ENC_B +Wire Wire Line + 3400 5450 3500 5450 +Wire Wire Line + 3400 5550 3500 5550 +$Comp +L Device:R R7 +U 1 1 5FA011FE +P 10600 1150 +F 0 "R7" H 10670 1196 50 0000 L CNN +F 1 "1k" H 10670 1105 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 10530 1150 50 0001 C CNN +F 3 "~" H 10600 1150 50 0001 C CNN + 1 10600 1150 + -1 0 0 -1 +$EndComp +$Comp +L Device:R R8 +U 1 1 5FA0704D +P 10100 1150 +F 0 "R8" H 10170 1196 50 0000 L CNN +F 1 "1k" H 10170 1105 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 10030 1150 50 0001 C CNN +F 3 "~" H 10100 1150 50 0001 C CNN + 1 10100 1150 + -1 0 0 -1 +$EndComp +Wire Wire Line + 10600 1000 10600 950 +Wire Wire Line + 10100 1000 10100 950 +$Comp +L power:+3.3V #PWR033 +U 1 1 5FA141EA +P 10600 950 +F 0 "#PWR033" H 10600 800 50 0001 C CNN +F 1 "+3.3V" H 10615 1123 50 0000 C CNN +F 2 "" H 10600 950 50 0001 C CNN +F 3 "" H 10600 950 50 0001 C CNN + 1 10600 950 + -1 0 0 -1 +$EndComp +$Comp +L power:+3.3V #PWR036 +U 1 1 5FA14881 +P 10100 950 +F 0 "#PWR036" H 10100 800 50 0001 C CNN +F 1 "+3.3V" H 10115 1123 50 0000 C CNN +F 2 "" H 10100 950 50 0001 C CNN +F 3 "" H 10100 950 50 0001 C CNN + 1 10100 950 + -1 0 0 -1 +$EndComp +$Comp +L Device:C C10 +U 1 1 5FA440F9 +P 10600 2150 +F 0 "C10" H 10486 2196 50 0000 R CNN +F 1 "100nF" H 10486 2105 50 0000 R CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 10638 2000 50 0001 C CNN +F 3 "~" H 10600 2150 50 0001 C CNN + 1 10600 2150 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR034 +U 1 1 5FA440FF +P 10600 2400 +F 0 "#PWR034" H 10600 2150 50 0001 C CNN +F 1 "GND" H 10605 2227 50 0000 C CNN +F 2 "" H 10600 2400 50 0001 C CNN +F 3 "" H 10600 2400 50 0001 C CNN + 1 10600 2400 + -1 0 0 -1 +$EndComp +Wire Wire Line + 10600 2400 10600 2300 +$Comp +L Device:C C12 +U 1 1 5FA4806E +P 10100 2150 +F 0 "C12" H 9985 2196 50 0000 R CNN +F 1 "100nF" H 9985 2105 50 0000 R CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 10138 2000 50 0001 C CNN +F 3 "~" H 10100 2150 50 0001 C CNN + 1 10100 2150 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR037 +U 1 1 5FA48074 +P 10100 2400 +F 0 "#PWR037" H 10100 2150 50 0001 C CNN +F 1 "GND" H 10105 2227 50 0000 C CNN +F 2 "" H 10100 2400 50 0001 C CNN +F 3 "" H 10100 2400 50 0001 C CNN + 1 10100 2400 + -1 0 0 -1 +$EndComp +Wire Wire Line + 10100 2400 10100 2300 +$Comp +L c4550:C4550 U? +U 1 1 618FE073 +P 4950 1550 +F 0 "U?" H 4800 1500 50 0000 L CNN +F 1 "C4550" H 4800 1600 50 0000 L CNN +F 2 "" H 4950 1550 50 0001 C CNN +F 3 "" H 4950 1550 50 0001 C CNN + 1 4950 1550 + 1 0 0 -1 +$EndComp +$Comp +L Amplifier_Operational:LM358 U? +U 2 1 6194D456 +P 3500 1350 +F 0 "U?" H 3500 1717 50 0000 C CNN +F 1 "LM358" H 3500 1626 50 0000 C CNN +F 2 "" H 3500 1350 50 0001 C CNN +F 3 "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" H 3500 1350 50 0001 C CNN + 2 3500 1350 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74HC14 U? +U 1 1 6192B51F +P 9450 4150 +F 0 "U?" H 9450 4467 50 0000 C CNN +F 1 "74HC14" H 9450 4376 50 0000 C CNN +F 2 "" H 9450 4150 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9450 4150 50 0001 C CNN + 1 9450 4150 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74HC14 U? +U 1 1 61B44A87 +P 9400 4700 +F 0 "U?" H 9400 5017 50 0000 C CNN +F 1 "74HC14" H 9400 4926 50 0000 C CNN +F 2 "" H 9400 4700 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9400 4700 50 0001 C CNN + 1 9400 4700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 4700 9050 4700 +Wire Wire Line + 9050 4700 9050 5200 +Wire Wire Line + 9050 5200 9100 5200 +Wire Wire Line + 9050 4700 9100 4700 +Connection ~ 9050 4700 +$Comp +L Device:R R? +U 1 1 61B44A92 +P 9950 4700 +F 0 "R?" V 9743 4700 50 0000 C CNN +F 1 "100" V 9834 4700 50 0000 C CNN +F 2 "" V 9880 4700 50 0001 C CNN +F 3 "~" H 9950 4700 50 0001 C CNN + 1 9950 4700 + 0 1 1 0 +$EndComp +$Comp +L Device:R R? +U 1 1 61B44A98 +P 9950 5200 +F 0 "R?" V 9743 5200 50 0000 C CNN +F 1 "100" V 9834 5200 50 0000 C CNN +F 2 "" V 9880 5200 50 0001 C CNN +F 3 "~" H 9950 5200 50 0001 C CNN + 1 9950 5200 + 0 1 1 0 +$EndComp +$Comp +L Connector:Conn_Coaxial J? +U 1 1 61B44A9E +P 10500 4700 +F 0 "J?" H 10600 4675 50 0000 L CNN +F 1 "Conn_Coaxial" H 10600 4584 50 0000 L CNN +F 2 "" H 10500 4700 50 0001 C CNN +F 3 " ~" H 10500 4700 50 0001 C CNN + 1 10500 4700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 4700 9800 4700 +Wire Wire Line + 9700 5200 9800 5200 +Wire Wire Line + 10100 4700 10200 4700 +Wire Wire Line + 10200 4700 10200 5200 +Wire Wire Line + 10200 5200 10100 5200 +Connection ~ 10200 4700 +Wire Wire Line + 10200 4700 10300 4700 +Wire Wire Line + 10500 4900 10500 5150 +$Comp +L power:GND #PWR? +U 1 1 61B44AAC +P 10500 5150 +F 0 "#PWR?" H 10500 4900 50 0001 C CNN +F 1 "GND" H 10505 4977 50 0000 C CNN +F 2 "" H 10500 5150 50 0001 C CNN +F 3 "" H 10500 5150 50 0001 C CNN + 1 10500 5150 + 1 0 0 -1 +$EndComp +Text GLabel 8500 4700 0 50 Input ~ 0 +10MHz +$Comp +L 74xx:74HC14 U? +U 1 1 61B44AB3 +P 9400 5200 +F 0 "U?" H 9400 5517 50 0000 C CNN +F 1 "74HC14" H 9400 5426 50 0000 C CNN +F 2 "" H 9400 5200 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9400 5200 50 0001 C CNN + 1 9400 5200 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74HC14 U? +U 1 1 61B4C586 +P 9400 5800 +F 0 "U?" H 9400 6117 50 0000 C CNN +F 1 "74HC14" H 9400 6026 50 0000 C CNN +F 2 "" H 9400 5800 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9400 5800 50 0001 C CNN + 1 9400 5800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8500 5800 9050 5800 +Wire Wire Line + 9050 5800 9050 6300 +Wire Wire Line + 9050 6300 9100 6300 +Wire Wire Line + 9050 5800 9100 5800 +Connection ~ 9050 5800 +$Comp +L Device:R R? +U 1 1 61B4C591 +P 9950 5800 +F 0 "R?" V 9743 5800 50 0000 C CNN +F 1 "100" V 9834 5800 50 0000 C CNN +F 2 "" V 9880 5800 50 0001 C CNN +F 3 "~" H 9950 5800 50 0001 C CNN + 1 9950 5800 + 0 1 1 0 +$EndComp +$Comp +L Device:R R? +U 1 1 61B4C597 +P 9950 6300 +F 0 "R?" V 9743 6300 50 0000 C CNN +F 1 "100" V 9834 6300 50 0000 C CNN +F 2 "" V 9880 6300 50 0001 C CNN +F 3 "~" H 9950 6300 50 0001 C CNN + 1 9950 6300 + 0 1 1 0 +$EndComp +$Comp +L Connector:Conn_Coaxial J? +U 1 1 61B4C59D +P 10500 5800 +F 0 "J?" H 10600 5775 50 0000 L CNN +F 1 "Conn_Coaxial" H 10600 5684 50 0000 L CNN +F 2 "" H 10500 5800 50 0001 C CNN +F 3 " ~" H 10500 5800 50 0001 C CNN + 1 10500 5800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 5800 9800 5800 +Wire Wire Line + 9700 6300 9800 6300 +Wire Wire Line + 10100 5800 10200 5800 +Wire Wire Line + 10200 5800 10200 6300 +Wire Wire Line + 10200 6300 10100 6300 +Connection ~ 10200 5800 +Wire Wire Line + 10200 5800 10300 5800 +Wire Wire Line + 10500 6000 10500 6250 +$Comp +L power:GND #PWR? +U 1 1 61B4C5AB +P 10500 6250 +F 0 "#PWR?" H 10500 6000 50 0001 C CNN +F 1 "GND" H 10505 6077 50 0000 C CNN +F 2 "" H 10500 6250 50 0001 C CNN +F 3 "" H 10500 6250 50 0001 C CNN + 1 10500 6250 + 1 0 0 -1 +$EndComp +Text GLabel 8500 5800 0 50 Input ~ 0 +10MHz +$Comp +L 74xx:74HC14 U? +U 1 1 61B4C5B2 +P 9400 6300 +F 0 "U?" H 9400 6617 50 0000 C CNN +F 1 "74HC14" H 9400 6526 50 0000 C CNN +F 2 "" H 9400 6300 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 9400 6300 50 0001 C CNN + 1 9400 6300 + 1 0 0 -1 +$EndComp +$Comp +L Connector_Generic:Conn_01x05 J? +U 1 1 61BA9C36 +P 11000 1650 +F 0 "J?" H 10918 2067 50 0000 C CNN +F 1 "Conn_01x05" H 10918 1976 50 0000 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical" H 11000 1650 50 0001 C CNN +F 3 "~" H 11000 1650 50 0001 C CNN + 1 11000 1650 + 1 0 0 -1 +$EndComp +Text GLabel 9350 1450 0 50 Input ~ 0 +ENC_A +Text GLabel 9350 1550 0 50 Input ~ 0 +ENC_B +Text GLabel 9350 1650 0 50 Input ~ 0 +ENC_BUTTON +Wire Wire Line + 10800 1750 8750 1750 +Wire Wire Line + 8750 1750 8750 1450 +Wire Wire Line + 10800 1850 8750 1850 +Wire Wire Line + 8750 1850 8750 2050 +$Comp +L power:GND #PWR? +U 1 1 61BC7A64 +P 8750 2050 +F 0 "#PWR?" H 8750 1800 50 0001 C CNN +F 1 "GND" H 8755 1877 50 0000 C CNN +F 2 "" H 8750 2050 50 0001 C CNN +F 3 "" H 8750 2050 50 0001 C CNN + 1 8750 2050 + -1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR? +U 1 1 61BC8A23 +P 8750 1450 +F 0 "#PWR?" H 8750 1300 50 0001 C CNN +F 1 "+5V" H 8765 1623 50 0000 C CNN +F 2 "" H 8750 1450 50 0001 C CNN +F 3 "" H 8750 1450 50 0001 C CNN + 1 8750 1450 + -1 0 0 -1 +$EndComp +Wire Wire Line + 10800 1450 10600 1450 +Wire Wire Line + 10800 1550 10100 1550 +Wire Wire Line + 10800 1650 9600 1650 +$Comp +L Device:R R? +U 1 1 61CA316E +P 9600 1150 +F 0 "R?" H 9670 1196 50 0000 L CNN +F 1 "1k" H 9670 1105 50 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric" V 9530 1150 50 0001 C CNN +F 3 "~" H 9600 1150 50 0001 C CNN + 1 9600 1150 + -1 0 0 -1 +$EndComp +Wire Wire Line + 9600 1000 9600 950 +$Comp +L power:+3.3V #PWR? +U 1 1 61CA3175 +P 9600 950 +F 0 "#PWR?" H 9600 800 50 0001 C CNN +F 1 "+3.3V" H 9615 1123 50 0000 C CNN +F 2 "" H 9600 950 50 0001 C CNN +F 3 "" H 9600 950 50 0001 C CNN + 1 9600 950 + -1 0 0 -1 +$EndComp +$Comp +L Device:C C? +U 1 1 61D19984 +P 9600 2150 +F 0 "C?" H 9485 2196 50 0000 R CNN +F 1 "100nF" H 9485 2105 50 0000 R CNN +F 2 "Capacitor_SMD:C_0603_1608Metric" H 9638 2000 50 0001 C CNN +F 3 "~" H 9600 2150 50 0001 C CNN + 1 9600 2150 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR? +U 1 1 61D1998A +P 9600 2400 +F 0 "#PWR?" H 9600 2150 50 0001 C CNN +F 1 "GND" H 9605 2227 50 0000 C CNN +F 2 "" H 9600 2400 50 0001 C CNN +F 3 "" H 9600 2400 50 0001 C CNN + 1 9600 2400 + -1 0 0 -1 +$EndComp +Wire Wire Line + 9600 2400 9600 2300 +Wire Wire Line + 10600 1300 10600 1450 +Connection ~ 10600 1450 +Wire Wire Line + 10600 1450 9350 1450 +Wire Wire Line + 10600 1450 10600 2000 +Connection ~ 10100 1550 +Wire Wire Line + 10100 1550 9350 1550 +Wire Wire Line + 10100 1550 10100 2000 +Wire Wire Line + 10100 1300 10100 1550 +Wire Wire Line + 9600 1300 9600 1650 +Connection ~ 9600 1650 +Wire Wire Line + 9600 1650 9350 1650 +Wire Wire Line + 9600 1650 9600 2000 +Text GLabel 5000 5650 2 50 Input ~ 0 +PWM +Wire Wire Line + 4800 5650 5000 5650 +Text GLabel 4900 6250 2 50 Input ~ 0 +10MHz +Wire Wire Line + 4800 6250 4900 6250 +Text Notes 5200 6300 0 50 ~ 0 +TIM1_ETR +Wire Wire Line + 4800 5850 5000 5850 +Text GLabel 5000 5850 2 50 Input ~ 0 +PPS +Text Notes 5200 5900 0 50 ~ 0 +TIM1_CH1 +Wire Wire Line + 4800 5050 5000 5050 +Text GLabel 5000 5050 2 50 Input ~ 0 +PPS +Text Notes 5200 5100 0 50 ~ 0 +TIM2_CH1_ETR +$EndSCHEMATC diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..b96beb6 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (lib (name c4550)(type Legacy)(uri ${KIPRJMOD}/c4550.lib)(options "")(descr "")) + (lib (name reflow-controller-rescue)(type Legacy)(uri /home/sebastian/projects/reflow-controller-pcb/reflow-controller-rescue.lib)(options "")(descr "")) +)