diff --git a/kaboard.000 b/kaboard.000 index 3cb0f38..087a58d 100644 --- a/kaboard.000 +++ b/kaboard.000 @@ -1,13 +1,12 @@ -PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 09:17:05 AM CET +PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 05:49:17 PM CET -# Created by Pcbnew(2012-12-10 BZR 3844)-stable +# Created by Pcbnew(2012-10-13 BZR 3765)-stable $GENERAL encoding utf-8 Units deci-mils LayerCount 2 EnabledLayers 1FFF8001 -VisibleLayers 1FDF0001 Links 141 NoConn 0 Di 41202 25121 62609 68960 @@ -64,7 +63,6 @@ TextModWidth 120 PadSize 354 354 PadDrill 354 Pad2MaskClearance 100 -SolderMaskMinWidth 0 AuxiliaryAxisOrg 50500 29000 VisibleElements 7FFFFFFF PcbPlotParams (pcbplotparams (layerselection 15761409) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin true) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 2) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk false) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) (outputdirectory plot/)) @@ -2311,7 +2309,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE SM0603 $MODULE bornier2 -Po 56500 66500 0 15 50D02666 50C4B70F ~~ +Po 56500 66500 0 15 50D09E8C 50C4B70F ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -2319,7 +2317,7 @@ Sc 50C4B70F AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -2568,14 +2566,14 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE conn_usb_B_mini_smd $MODULE pin_array_3x2 -Po 56500 58000 1800 15 50CB71E0 50C6FAEF ~~ +Po 56500 58000 1800 15 50D026DA 50C6FAEF ~~ Li pin_array_3x2 Cd Double rangee de contacts 2 x 4 pins Kw CONN Sc 50C6FAEF AR /50C6F989 Op 0 0 0 -T0 -100 1250 400 400 1800 80 N V 21 N "P11" +T0 -100 1350 400 400 1800 80 N V 21 N "P11" T1 0 1500 400 400 1800 80 N I 21 N "CONN_3X2" DS 1500 1000 -1500 1000 80 21 DS -1500 -1000 1500 -1000 80 21 @@ -31913,4 +31911,22 @@ $POLYSCORNERS 62325 67825 1 0 $endPOLYSCORNERS $endCZONE_OUTLINE +$CZONE_OUTLINE +ZInfo 50D02700 0 "" +ZLayer 21 +ZAux 3 E +ZClearance 67 T +ZMinThickness 100 +ZOptions 0 16 F 200 200 +ZSmoothing 0 0 +ZCorner 58250 57500 0 +ZCorner 58750 57200 0 +ZCorner 58750 57800 1 +$POLYSCORNERS +58700 57712 0 0 +58347 57500 0 0 +58700 57288 0 0 +58700 57712 1 0 +$endPOLYSCORNERS +$endCZONE_OUTLINE $EndBOARD diff --git a/kaboard.brd b/kaboard.brd index 27b3022..595d6d3 100644 --- a/kaboard.brd +++ b/kaboard.brd @@ -1,13 +1,13 @@ -PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 09:19:44 AM CET +PCBNEW-BOARD Version 1 date Thu 10 Jan 2013 12:06:49 AM CET -# Created by Pcbnew(2012-12-10 BZR 3844)-stable +# Created by Pcbnew(2012-10-13 BZR 3765)-stable $GENERAL encoding utf-8 Units deci-mils LayerCount 2 EnabledLayers 1FFF8001 -Links 141 +Links 0 NoConn 0 Di 41202 25121 62609 68960 Ndraw 37 @@ -21,7 +21,7 @@ $EndGENERAL $SHEETDESCR Sheet A4 11693 8268 Title "" -Date "18 dec 2012" +Date "9 jan 2013" Rev "" Comp "" Comment1 "" @@ -63,7 +63,6 @@ TextModWidth 120 PadSize 354 354 PadDrill 354 Pad2MaskClearance 100 -SolderMaskMinWidth 0 AuxiliaryAxisOrg 50500 29000 VisibleElements 7FFFFFFF PcbPlotParams (pcbplotparams (layerselection 15761409) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin true) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 2) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk false) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) (outputdirectory plot/)) @@ -2310,7 +2309,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE SM0603 $MODULE bornier2 -Po 56500 66500 0 15 50D02666 50C4B70F ~~ +Po 56500 66500 0 15 50D09E8C 50C4B70F ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -2318,7 +2317,7 @@ Sc 50C4B70F AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 diff --git a/kaboard.cmp b/kaboard.cmp index 17b7cee..23454a3 100644 --- a/kaboard.cmp +++ b/kaboard.cmp @@ -1,4 +1,4 @@ -Cmp-Mod V01 Created by CvPcb (2012-12-10 BZR 3844)-stable date = Thu 13 Dec 2012 04:00:08 PM CET +Cmp-Mod V01 Created by CvPcb (2012-10-13 BZR 3765)-stable date = Tue 18 Dec 2012 05:51:08 PM CET BeginCmp TimeStamp = /5069B992; @@ -38,14 +38,14 @@ EndCmp BeginCmp TimeStamp = /5069AFCC/50C4AE4A; Reference = C6; -ValeurCmp = 10uF_tantal; +ValeurCmp = 10uF; IdModule = c_elec_5x5.3; EndCmp BeginCmp TimeStamp = /5069AFCC/4F9D91CA; Reference = C7; -ValeurCmp = 4,7uF_tantal; +ValeurCmp = 4,7uF; IdModule = c_elec_4x4.5; EndCmp @@ -73,7 +73,7 @@ EndCmp BeginCmp TimeStamp = /5069AFCC/50C4AE59; Reference = C11; -ValeurCmp = 10uF_tantal; +ValeurCmp = 10uF; IdModule = c_elec_5x5.3; EndCmp diff --git a/kaboard.pro b/kaboard.pro index 1a07b4c..2de0532 100644 --- a/kaboard.pro +++ b/kaboard.pro @@ -1,6 +1,6 @@ -update=Fri 14 Dec 2012 07:41:36 PM CET +update=Tue 18 Dec 2012 05:51:07 PM CET version=1 -last_client=pcbnew +last_client=cvpcb [general] version=1 [eeschema] @@ -43,11 +43,6 @@ LibName28=atmel LibName29=contrib LibName30=valves LibName31=lm1117 -[cvpcb] -version=1 -NetIExt=net -[cvpcb/libraries] -EquName1=devcms [pcbnew] version=1 LastNetListRead=kaboard.net @@ -66,7 +61,6 @@ DrawSegmentWidth=0.381 BoardOutlineThickness=0.381 ModuleOutlineThickness=0.381 [pcbnew/libraries] -LibDir=libs/smisitoto_eu;libs LibName1=sockets LibName2=connect LibName3=discret @@ -82,8 +76,13 @@ LibName12=w_smd_cap LibName13=w_smd_trans LibName14=w_smd_diode LibName15=w_conn_pc -LibName16=smoke_chip -LibName17=kaboard_logo_silkbot_15_00mm -LibName18=ccbysa_silkbot_25_00mm -LibName19=ccbysa_silkbot_10_00mm -LibName20=ccbysa_silkbot_15_00mm +LibName16=kaboard_logo_silkbot_15_00mm +LibName17=ccbysa_silkbot_25_00mm +LibName18=ccbysa_silkbot_10_00mm +LibName19=ccbysa_silkbot_15_00mm +LibDir=libs/smisitoto_eu;libs +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms diff --git a/kaboard_panelized.000 b/kaboard_panelized.000 index dfebb56..ac66d34 100644 --- a/kaboard_panelized.000 +++ b/kaboard_panelized.000 @@ -1,6 +1,6 @@ -PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 09:35:59 AM CET +PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 05:49:42 PM CET -# Created by Pcbnew(2012-12-10 BZR 3844)-stable +# Created by Pcbnew(2012-10-13 BZR 3765)-stable $GENERAL encoding utf-8 @@ -10,7 +10,7 @@ EnabledLayers 1FFF8001 Links 503 NoConn 80 Di 27913 14763 76060 59117 -Ndraw 94 +Ndraw 98 Ntrack 606 Nzone 0 BoardThickness 630 @@ -63,7 +63,6 @@ TextModWidth 120 PadSize 354 354 PadDrill 354 Pad2MaskClearance 100 -SolderMaskMinWidth 0 AuxiliaryAxisOrg 36614 18898 VisibleElements 7FFFFBFF PcbPlotParams (pcbplotparams (layerselection 15761409) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin true) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 2) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk true) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) (outputdirectory plot/panelized/)) @@ -10844,7 +10843,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE sod123 $MODULE bornier2 -Po 56107 56656 0 15 50D02666 50D03622 ~~ +Po 56107 56656 0 15 50D09EA0 50D03622 ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -10852,7 +10851,7 @@ Sc 50D03622 AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -23464,7 +23463,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE sod123 $MODULE bornier2 -Po 43116 56657 0 15 50D02666 50D02F30 ~~ +Po 43116 56657 0 15 50D09E9C 50D02F30 ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -23472,7 +23471,7 @@ Sc 50D02F30 AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -27550,7 +27549,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE SM0603 $MODULE bornier2 -Po 69493 56657 0 15 50D02666 50C4B70F ~~ +Po 69493 56657 0 15 50D09EA4 50C4B70F ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -27558,7 +27557,7 @@ Sc 50C4B70F AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -38145,6 +38144,22 @@ Dl -1845 -292 Dl -1845 -292 $EndMODULE ccbysa_silkbot_15_00mm $DRAWSEGMENT +Po 0 36614 58268 36614 18898 150 +De 20 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 75984 58268 36614 58268 150 +De 20 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 75984 18898 75984 58268 150 +De 20 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 36614 18898 75984 18898 150 +De 20 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT Po 0 56107 19156 56107 58156 150 De 25 0 900 50D037B7 0 $EndDRAWSEGMENT diff --git a/kaboard_panelized.brd b/kaboard_panelized.brd index 979c991..de7819f 100644 --- a/kaboard_panelized.brd +++ b/kaboard_panelized.brd @@ -1,6 +1,6 @@ -PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 09:42:44 AM CET +PCBNEW-BOARD Version 1 date Tue 18 Dec 2012 05:54:52 PM CET -# Created by Pcbnew(2012-12-10 BZR 3844)-stable +# Created by Pcbnew(2012-10-13 BZR 3765)-stable $GENERAL encoding utf-8 @@ -63,7 +63,6 @@ TextModWidth 120 PadSize 354 354 PadDrill 354 Pad2MaskClearance 100 -SolderMaskMinWidth 0 AuxiliaryAxisOrg 36614 18898 VisibleElements 7FFFFBFF PcbPlotParams (pcbplotparams (layerselection 15761409) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin true) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 2) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk true) (outputformat 1) (mirror false) (drillshape 0) (scaleselection 1) (outputdirectory plot/panelized/)) @@ -10844,7 +10843,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE sod123 $MODULE bornier2 -Po 56107 56656 0 15 50D02666 50D03622 ~~ +Po 56107 56656 0 15 50D09FDB 50D03622 ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -10852,7 +10851,7 @@ Sc 50D03622 AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -23464,7 +23463,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE sod123 $MODULE bornier2 -Po 43116 56657 0 15 50D02666 50D02F30 ~~ +Po 43116 56657 0 15 50D09FD1 50D02F30 ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -23472,7 +23471,7 @@ Sc 50D02F30 AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 @@ -27550,7 +27549,7 @@ Ro 0 0 0 $EndSHAPE3D $EndMODULE SM0603 $MODULE bornier2 -Po 69493 56657 0 15 50D02666 50C4B70F ~~ +Po 69493 56657 0 15 50D09EA4 50C4B70F ~~ Li bornier2 Cd Bornier d'alimentation 2 pins Kw DEV @@ -27558,7 +27557,7 @@ Sc 50C4B70F AR /5069AFCC/50C4B3A1 Op 0 0 0 T0 0 -1800 394 394 0 99 N V 21 N "P10" -T1 0 2000 600 600 0 120 N V 21 N "CONN_2" +T1 0 2000 600 600 0 120 N I 21 N "CONN_2" DS 2000 1000 -2000 1000 120 21 DS 2000 1500 2000 -1500 120 21 DS 2000 -1500 -2000 -1500 120 21 diff --git a/plot/panelized/kaboard_panelized-B_Mask.gbs b/plot/panelized/kaboard_panelized-B_Mask.gbs deleted file mode 100644 index 853ff14..0000000 --- a/plot/panelized/kaboard_panelized-B_Mask.gbs +++ /dev/null @@ -1,484 +0,0 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G01* -G70* -G90* -G04 APERTURE LIST* -%ADD10C,0.006*% -%ADD11R,0.08X0.08*% -%ADD12C,0.08*% -%ADD13C,0.0554*% -%ADD14C,0.2562*% -%ADD15R,0.12X0.12*% -%ADD16C,0.12*% -%ADD17O,0.11X0.082*% -%ADD18R,0.11X0.082*% -%ADD19R,0.075X0.075*% -%ADD20C,0.075*% -%ADD21C,0.076*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X20493Y-28758D03* -G54D12* -X20493Y-29758D03* -X19493Y-28758D03* -X19493Y-29758D03* -X18493Y-28758D03* -X18493Y-29758D03* -G54D13* -X20358Y-2798D03* -X18627Y-2798D03* -G54D14* -X23493Y-37258D03* -X15493Y-37258D03* -X23493Y-2258D03* -X15493Y-2258D03* -G54D15* -X18493Y-37758D03* -G54D16* -X20493Y-37758D03* -G54D17* -X17993Y-14258D03* -X17993Y-15258D03* -X17993Y-16258D03* -X17993Y-17258D03* -X17993Y-18258D03* -X17993Y-19258D03* -X17993Y-20258D03* -X17993Y-21258D03* -X17993Y-22258D03* -X17993Y-23258D03* -X17993Y-24258D03* -X17993Y-25258D03* -X17993Y-26258D03* -G54D18* -X17993Y-13258D03* -G54D17* -X20993Y-26258D03* -X20993Y-25258D03* -X20993Y-24258D03* -X20993Y-23258D03* -X20993Y-22258D03* -X20993Y-21258D03* -X20993Y-20258D03* -X20993Y-19258D03* -X20993Y-18258D03* -X20993Y-17258D03* -X20993Y-16258D03* -X20993Y-15258D03* -X20993Y-14258D03* -X20993Y-13258D03* -G54D19* -X22493Y-19258D03* -G54D20* -X22493Y-20258D03* -G54D19* -X21993Y-6258D03* -G54D20* -X22993Y-6258D03* -G54D19* -X16493Y-24758D03* -G54D20* -X16493Y-25758D03* -X16493Y-26758D03* -G54D19* -X15493Y-24758D03* -G54D20* -X15493Y-25758D03* -X15493Y-26758D03* -G54D19* -X14493Y-24758D03* -G54D20* -X14493Y-25758D03* -X14493Y-26758D03* -G54D19* -X14493Y-14258D03* -G54D20* -X14493Y-15258D03* -X14493Y-16258D03* -X14493Y-17258D03* -X14493Y-18258D03* -G54D19* -X15493Y-14258D03* -G54D20* -X15493Y-15258D03* -X15493Y-16258D03* -X15493Y-17258D03* -X15493Y-18258D03* -G54D19* -X16493Y-14258D03* -G54D20* -X16493Y-15258D03* -X16493Y-16258D03* -X16493Y-17258D03* -X16493Y-18258D03* -G54D19* -X24493Y-18258D03* -G54D20* -X24493Y-17258D03* -X24493Y-16258D03* -X24493Y-15258D03* -X24493Y-14258D03* -X24493Y-13258D03* -G54D19* -X23493Y-18258D03* -G54D20* -X23493Y-17258D03* -X23493Y-16258D03* -X23493Y-15258D03* -X23493Y-14258D03* -X23493Y-13258D03* -G54D19* -X24493Y-27258D03* -G54D20* -X24493Y-26258D03* -X24493Y-25258D03* -X24493Y-24258D03* -X24493Y-23258D03* -X24493Y-22258D03* -G54D19* -X23493Y-27258D03* -G54D20* -X23493Y-26258D03* -X23493Y-25258D03* -X23493Y-24258D03* -X23493Y-23258D03* -X23493Y-22258D03* -G54D19* -X22493Y-18258D03* -G54D20* -X22493Y-17258D03* -X22493Y-16258D03* -X22493Y-15258D03* -X22493Y-14258D03* -X22493Y-13258D03* -G54D19* -X22493Y-27258D03* -G54D20* -X22493Y-26258D03* -X22493Y-25258D03* -X22493Y-24258D03* -X22493Y-23258D03* -X22493Y-22258D03* -X14493Y-27758D03* -X16493Y-27758D03* -X14493Y-30758D03* -X16493Y-30758D03* -G54D19* -X16993Y-6258D03* -G54D20* -X15993Y-6258D03* -G54D21* -X15993Y-20758D03* -X15993Y-22758D03* -G54D11* -X7502Y-28759D03* -G54D12* -X7502Y-29759D03* -X6502Y-28759D03* -X6502Y-29759D03* -X5502Y-28759D03* -X5502Y-29759D03* -G54D13* -X7367Y-2799D03* -X5636Y-2799D03* -G54D14* -X10502Y-37259D03* -X2502Y-37259D03* -X10502Y-2259D03* -X2502Y-2259D03* -G54D15* -X5502Y-37759D03* -G54D16* -X7502Y-37759D03* -G54D17* -X5002Y-14259D03* -X5002Y-15259D03* -X5002Y-16259D03* -X5002Y-17259D03* -X5002Y-18259D03* -X5002Y-19259D03* -X5002Y-20259D03* -X5002Y-21259D03* -X5002Y-22259D03* -X5002Y-23259D03* -X5002Y-24259D03* -X5002Y-25259D03* -X5002Y-26259D03* -G54D18* -X5002Y-13259D03* -G54D17* -X8002Y-26259D03* -X8002Y-25259D03* -X8002Y-24259D03* -X8002Y-23259D03* -X8002Y-22259D03* -X8002Y-21259D03* -X8002Y-20259D03* -X8002Y-19259D03* -X8002Y-18259D03* -X8002Y-17259D03* -X8002Y-16259D03* -X8002Y-15259D03* -X8002Y-14259D03* -X8002Y-13259D03* -G54D19* -X9502Y-19259D03* -G54D20* -X9502Y-20259D03* -G54D19* -X9002Y-6259D03* -G54D20* -X10002Y-6259D03* -G54D19* -X3502Y-24759D03* -G54D20* -X3502Y-25759D03* -X3502Y-26759D03* -G54D19* -X2502Y-24759D03* -G54D20* -X2502Y-25759D03* -X2502Y-26759D03* -G54D19* -X1502Y-24759D03* -G54D20* -X1502Y-25759D03* -X1502Y-26759D03* -G54D19* -X1502Y-14259D03* -G54D20* -X1502Y-15259D03* -X1502Y-16259D03* -X1502Y-17259D03* -X1502Y-18259D03* -G54D19* -X2502Y-14259D03* -G54D20* -X2502Y-15259D03* -X2502Y-16259D03* -X2502Y-17259D03* -X2502Y-18259D03* -G54D19* -X3502Y-14259D03* -G54D20* -X3502Y-15259D03* -X3502Y-16259D03* -X3502Y-17259D03* -X3502Y-18259D03* -G54D19* -X11502Y-18259D03* -G54D20* -X11502Y-17259D03* -X11502Y-16259D03* -X11502Y-15259D03* -X11502Y-14259D03* -X11502Y-13259D03* -G54D19* -X10502Y-18259D03* -G54D20* -X10502Y-17259D03* -X10502Y-16259D03* -X10502Y-15259D03* -X10502Y-14259D03* -X10502Y-13259D03* -G54D19* -X11502Y-27259D03* -G54D20* -X11502Y-26259D03* -X11502Y-25259D03* -X11502Y-24259D03* -X11502Y-23259D03* -X11502Y-22259D03* -G54D19* -X10502Y-27259D03* -G54D20* -X10502Y-26259D03* -X10502Y-25259D03* -X10502Y-24259D03* -X10502Y-23259D03* -X10502Y-22259D03* -G54D19* -X9502Y-18259D03* -G54D20* -X9502Y-17259D03* -X9502Y-16259D03* -X9502Y-15259D03* -X9502Y-14259D03* -X9502Y-13259D03* -G54D19* -X9502Y-27259D03* -G54D20* -X9502Y-26259D03* -X9502Y-25259D03* -X9502Y-24259D03* -X9502Y-23259D03* -X9502Y-22259D03* -X1502Y-27759D03* -X3502Y-27759D03* -X1502Y-30759D03* -X3502Y-30759D03* -G54D19* -X4002Y-6259D03* -G54D20* -X3002Y-6259D03* -G54D21* -X3002Y-20759D03* -X3002Y-22759D03* -X29379Y-20759D03* -X29379Y-22759D03* -G54D19* -X30379Y-6259D03* -G54D20* -X29379Y-6259D03* -X27879Y-27759D03* -X29879Y-27759D03* -X27879Y-30759D03* -X29879Y-30759D03* -G54D19* -X35879Y-27259D03* -G54D20* -X35879Y-26259D03* -X35879Y-25259D03* -X35879Y-24259D03* -X35879Y-23259D03* -X35879Y-22259D03* -G54D19* -X35879Y-18259D03* -G54D20* -X35879Y-17259D03* -X35879Y-16259D03* -X35879Y-15259D03* -X35879Y-14259D03* -X35879Y-13259D03* -G54D19* -X36879Y-27259D03* -G54D20* -X36879Y-26259D03* -X36879Y-25259D03* -X36879Y-24259D03* -X36879Y-23259D03* -X36879Y-22259D03* -G54D19* -X37879Y-27259D03* -G54D20* -X37879Y-26259D03* -X37879Y-25259D03* -X37879Y-24259D03* -X37879Y-23259D03* -X37879Y-22259D03* -G54D19* -X36879Y-18259D03* -G54D20* -X36879Y-17259D03* -X36879Y-16259D03* -X36879Y-15259D03* -X36879Y-14259D03* -X36879Y-13259D03* -G54D19* -X37879Y-18259D03* -G54D20* -X37879Y-17259D03* -X37879Y-16259D03* -X37879Y-15259D03* -X37879Y-14259D03* -X37879Y-13259D03* -G54D19* -X29879Y-14259D03* -G54D20* -X29879Y-15259D03* -X29879Y-16259D03* -X29879Y-17259D03* -X29879Y-18259D03* -G54D19* -X28879Y-14259D03* -G54D20* -X28879Y-15259D03* -X28879Y-16259D03* -X28879Y-17259D03* -X28879Y-18259D03* -G54D19* -X27879Y-14259D03* -G54D20* -X27879Y-15259D03* -X27879Y-16259D03* -X27879Y-17259D03* -X27879Y-18259D03* -G54D19* -X27879Y-24759D03* -G54D20* -X27879Y-25759D03* -X27879Y-26759D03* -G54D19* -X28879Y-24759D03* -G54D20* -X28879Y-25759D03* -X28879Y-26759D03* -G54D19* -X29879Y-24759D03* -G54D20* -X29879Y-25759D03* -X29879Y-26759D03* -G54D19* -X35379Y-6259D03* -G54D20* -X36379Y-6259D03* -G54D19* -X35879Y-19259D03* -G54D20* -X35879Y-20259D03* -G54D17* -X31379Y-14259D03* -X31379Y-15259D03* -X31379Y-16259D03* -X31379Y-17259D03* -X31379Y-18259D03* -X31379Y-19259D03* -X31379Y-20259D03* -X31379Y-21259D03* -X31379Y-22259D03* -X31379Y-23259D03* -X31379Y-24259D03* -X31379Y-25259D03* -X31379Y-26259D03* -G54D18* -X31379Y-13259D03* -G54D17* -X34379Y-26259D03* -X34379Y-25259D03* -X34379Y-24259D03* -X34379Y-23259D03* -X34379Y-22259D03* -X34379Y-21259D03* -X34379Y-20259D03* -X34379Y-19259D03* -X34379Y-18259D03* -X34379Y-17259D03* -X34379Y-16259D03* -X34379Y-15259D03* -X34379Y-14259D03* -X34379Y-13259D03* -G54D15* -X31879Y-37759D03* -G54D16* -X33879Y-37759D03* -G54D14* -X28879Y-2259D03* -X36879Y-2259D03* -X28879Y-37259D03* -X36879Y-37259D03* -G54D13* -X33744Y-2799D03* -X32013Y-2799D03* -G54D11* -X33879Y-28759D03* -G54D12* -X33879Y-29759D03* -X32879Y-28759D03* -X32879Y-29759D03* -X31879Y-28759D03* -X31879Y-29759D03* -M02* diff --git a/plot/panelized/kaboard_panelized-B_SilkS.gbo b/plot/panelized/kaboard_panelized-B_SilkS.gbo deleted file mode 100644 index 6546db1..0000000 --- a/plot/panelized/kaboard_panelized-B_SilkS.gbo +++ /dev/null @@ -1,33923 +0,0 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G01* -G70* -G90* -G04 APERTURE LIST* -%ADD10C,0.006*% -%ADD11C,0.015*% -%ADD12C,0.0099*% -%ADD13C,0.0001*% -%ADD14R,0.08X0.08*% -%ADD15C,0.08*% -%ADD16C,0.0554*% -%ADD17C,0.2562*% -%ADD18R,0.12X0.12*% -%ADD19C,0.12*% -%ADD20O,0.11X0.082*% -%ADD21R,0.11X0.082*% -%ADD22R,0.075X0.075*% -%ADD23C,0.075*% -%ADD24C,0.076*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X0Y-39370D02* -X0Y0D01* -X39370Y-39370D02* -X0Y-39370D01* -X39370Y0D02* -X39370Y-39370D01* -X0Y0D02* -X39370Y0D01* -G54D12* -X19338Y-14170D02* -X19816Y-14170D01* -X19872Y-14151D01* -X19901Y-14132D01* -X19929Y-14095D01* -X19929Y-14038D01* -X19901Y-14001D01* -X19704Y-14170D02* -X19732Y-14132D01* -X19732Y-14057D01* -X19704Y-14020D01* -X19675Y-14001D01* -X19619Y-13982D01* -X19450Y-13982D01* -X19394Y-14001D01* -X19366Y-14020D01* -X19338Y-14057D01* -X19338Y-14132D01* -X19366Y-14170D01* -X19732Y-14357D02* -X19338Y-14357D01* -X19141Y-14357D02* -X19169Y-14338D01* -X19197Y-14357D01* -X19169Y-14376D01* -X19141Y-14357D01* -X19197Y-14357D01* -X19338Y-14489D02* -X19338Y-14639D01* -X19141Y-14545D02* -X19647Y-14545D01* -X19704Y-14564D01* -X19732Y-14601D01* -X19732Y-14639D01* -X19732Y-14770D02* -X19141Y-14770D01* -X19732Y-14939D02* -X19422Y-14939D01* -X19366Y-14920D01* -X19338Y-14882D01* -X19338Y-14826D01* -X19366Y-14789D01* -X19394Y-14770D01* -X19338Y-15295D02* -X19732Y-15295D01* -X19338Y-15126D02* -X19647Y-15126D01* -X19704Y-15145D01* -X19732Y-15182D01* -X19732Y-15238D01* -X19704Y-15276D01* -X19675Y-15295D01* -X19732Y-15482D02* -X19141Y-15482D01* -X19366Y-15482D02* -X19338Y-15519D01* -X19338Y-15594D01* -X19366Y-15632D01* -X19394Y-15651D01* -X19450Y-15669D01* -X19619Y-15669D01* -X19675Y-15651D01* -X19704Y-15632D01* -X19732Y-15594D01* -X19732Y-15519D01* -X19704Y-15482D01* -X19675Y-15838D02* -X19704Y-15857D01* -X19732Y-15838D01* -X19704Y-15819D01* -X19675Y-15838D01* -X19732Y-15838D01* -X19704Y-16195D02* -X19732Y-16157D01* -X19732Y-16082D01* -X19704Y-16045D01* -X19675Y-16026D01* -X19619Y-16007D01* -X19450Y-16007D01* -X19394Y-16026D01* -X19366Y-16045D01* -X19338Y-16082D01* -X19338Y-16157D01* -X19366Y-16195D01* -X19732Y-16420D02* -X19704Y-16383D01* -X19675Y-16364D01* -X19619Y-16345D01* -X19450Y-16345D01* -X19394Y-16364D01* -X19366Y-16383D01* -X19338Y-16420D01* -X19338Y-16476D01* -X19366Y-16514D01* -X19394Y-16533D01* -X19450Y-16551D01* -X19619Y-16551D01* -X19675Y-16533D01* -X19704Y-16514D01* -X19732Y-16476D01* -X19732Y-16420D01* -X19732Y-16720D02* -X19338Y-16720D01* -X19394Y-16720D02* -X19366Y-16739D01* -X19338Y-16776D01* -X19338Y-16832D01* -X19366Y-16870D01* -X19422Y-16889D01* -X19732Y-16889D01* -X19422Y-16889D02* -X19366Y-16907D01* -X19338Y-16945D01* -X19338Y-17001D01* -X19366Y-17039D01* -X19422Y-17058D01* -X19732Y-17058D01* -X19113Y-17526D02* -X19872Y-17189D01* -X19732Y-17845D02* -X19732Y-17658D01* -X19141Y-17658D01* -X19732Y-18033D02* -X19704Y-17996D01* -X19675Y-17977D01* -X19619Y-17958D01* -X19450Y-17958D01* -X19394Y-17977D01* -X19366Y-17996D01* -X19338Y-18033D01* -X19338Y-18089D01* -X19366Y-18127D01* -X19394Y-18146D01* -X19450Y-18164D01* -X19619Y-18164D01* -X19675Y-18146D01* -X19704Y-18127D01* -X19732Y-18089D01* -X19732Y-18033D01* -X19338Y-18333D02* -X19732Y-18333D01* -X19394Y-18333D02* -X19366Y-18352D01* -X19338Y-18389D01* -X19338Y-18445D01* -X19366Y-18483D01* -X19422Y-18502D01* -X19732Y-18502D01* -X19338Y-18858D02* -X19816Y-18858D01* -X19872Y-18839D01* -X19901Y-18820D01* -X19929Y-18783D01* -X19929Y-18726D01* -X19901Y-18689D01* -X19704Y-18858D02* -X19732Y-18820D01* -X19732Y-18745D01* -X19704Y-18708D01* -X19675Y-18689D01* -X19619Y-18670D01* -X19450Y-18670D01* -X19394Y-18689D01* -X19366Y-18708D01* -X19338Y-18745D01* -X19338Y-18820D01* -X19366Y-18858D01* -X19732Y-19045D02* -X19141Y-19045D01* -X19422Y-19045D02* -X19422Y-19270D01* -X19732Y-19270D02* -X19141Y-19270D01* -X19732Y-19627D02* -X19422Y-19627D01* -X19366Y-19608D01* -X19338Y-19570D01* -X19338Y-19495D01* -X19366Y-19458D01* -X19704Y-19627D02* -X19732Y-19589D01* -X19732Y-19495D01* -X19704Y-19458D01* -X19647Y-19439D01* -X19591Y-19439D01* -X19535Y-19458D01* -X19507Y-19495D01* -X19507Y-19589D01* -X19478Y-19627D01* -X19732Y-19814D02* -X19338Y-19814D01* -X19141Y-19814D02* -X19169Y-19795D01* -X19197Y-19814D01* -X19169Y-19833D01* -X19141Y-19814D01* -X19197Y-19814D01* -X19732Y-20002D02* -X19338Y-20002D01* -X19450Y-20002D02* -X19394Y-20021D01* -X19366Y-20039D01* -X19338Y-20077D01* -X19338Y-20114D01* -X19704Y-20396D02* -X19732Y-20358D01* -X19732Y-20283D01* -X19704Y-20246D01* -X19647Y-20227D01* -X19422Y-20227D01* -X19366Y-20246D01* -X19338Y-20283D01* -X19338Y-20358D01* -X19366Y-20396D01* -X19422Y-20415D01* -X19478Y-20415D01* -X19535Y-20227D01* -X19732Y-20753D02* -X19141Y-20753D01* -X19704Y-20753D02* -X19732Y-20715D01* -X19732Y-20640D01* -X19704Y-20603D01* -X19675Y-20584D01* -X19619Y-20565D01* -X19450Y-20565D01* -X19394Y-20584D01* -X19366Y-20603D01* -X19338Y-20640D01* -X19338Y-20715D01* -X19366Y-20753D01* -X19732Y-20940D02* -X19141Y-20940D01* -X19422Y-20940D02* -X19422Y-21165D01* -X19732Y-21165D02* -X19141Y-21165D01* -X19732Y-21522D02* -X19422Y-21522D01* -X19366Y-21503D01* -X19338Y-21465D01* -X19338Y-21390D01* -X19366Y-21353D01* -X19704Y-21522D02* -X19732Y-21484D01* -X19732Y-21390D01* -X19704Y-21353D01* -X19647Y-21334D01* -X19591Y-21334D01* -X19535Y-21353D01* -X19507Y-21390D01* -X19507Y-21484D01* -X19478Y-21522D01* -X19704Y-21878D02* -X19732Y-21840D01* -X19732Y-21765D01* -X19704Y-21728D01* -X19675Y-21709D01* -X19619Y-21690D01* -X19450Y-21690D01* -X19394Y-21709D01* -X19366Y-21728D01* -X19338Y-21765D01* -X19338Y-21840D01* -X19366Y-21878D01* -X19732Y-22047D02* -X19141Y-22047D01* -X19507Y-22084D02* -X19732Y-22197D01* -X19338Y-22197D02* -X19563Y-22047D01* -X19704Y-22516D02* -X19732Y-22478D01* -X19732Y-22403D01* -X19704Y-22366D01* -X19647Y-22347D01* -X19422Y-22347D01* -X19366Y-22366D01* -X19338Y-22403D01* -X19338Y-22478D01* -X19366Y-22516D01* -X19422Y-22535D01* -X19478Y-22535D01* -X19535Y-22347D01* -X19732Y-22704D02* -X19338Y-22704D01* -X19450Y-22704D02* -X19394Y-22723D01* -X19366Y-22741D01* -X19338Y-22779D01* -X19338Y-22816D01* -X19113Y-23229D02* -X19872Y-22892D01* -X19732Y-23361D02* -X19141Y-23361D01* -X19507Y-23398D02* -X19732Y-23511D01* -X19338Y-23511D02* -X19563Y-23361D01* -X19732Y-23849D02* -X19422Y-23849D01* -X19366Y-23830D01* -X19338Y-23792D01* -X19338Y-23717D01* -X19366Y-23680D01* -X19704Y-23849D02* -X19732Y-23811D01* -X19732Y-23717D01* -X19704Y-23680D01* -X19647Y-23661D01* -X19591Y-23661D01* -X19535Y-23680D01* -X19507Y-23717D01* -X19507Y-23811D01* -X19478Y-23849D01* -X19732Y-24036D02* -X19141Y-24036D01* -X19366Y-24036D02* -X19338Y-24073D01* -X19338Y-24148D01* -X19366Y-24186D01* -X19394Y-24205D01* -X19450Y-24223D01* -X19619Y-24223D01* -X19675Y-24205D01* -X19704Y-24186D01* -X19732Y-24148D01* -X19732Y-24073D01* -X19704Y-24036D01* -X19732Y-24448D02* -X19704Y-24411D01* -X19675Y-24392D01* -X19619Y-24373D01* -X19450Y-24373D01* -X19394Y-24392D01* -X19366Y-24411D01* -X19338Y-24448D01* -X19338Y-24504D01* -X19366Y-24542D01* -X19394Y-24561D01* -X19450Y-24579D01* -X19619Y-24579D01* -X19675Y-24561D01* -X19704Y-24542D01* -X19732Y-24504D01* -X19732Y-24448D01* -X19732Y-24917D02* -X19422Y-24917D01* -X19366Y-24898D01* -X19338Y-24860D01* -X19338Y-24785D01* -X19366Y-24748D01* -X19704Y-24917D02* -X19732Y-24879D01* -X19732Y-24785D01* -X19704Y-24748D01* -X19647Y-24729D01* -X19591Y-24729D01* -X19535Y-24748D01* -X19507Y-24785D01* -X19507Y-24879D01* -X19478Y-24917D01* -X19732Y-25104D02* -X19338Y-25104D01* -X19450Y-25104D02* -X19394Y-25123D01* -X19366Y-25141D01* -X19338Y-25179D01* -X19338Y-25216D01* -X19732Y-25517D02* -X19141Y-25517D01* -X19704Y-25517D02* -X19732Y-25479D01* -X19732Y-25404D01* -X19704Y-25367D01* -X19675Y-25348D01* -X19619Y-25329D01* -X19450Y-25329D01* -X19394Y-25348D01* -X19366Y-25367D01* -X19338Y-25404D01* -X19338Y-25479D01* -X19366Y-25517D01* -X23299Y-7597D02* -X23299Y-7006D01* -X23149Y-7006D01* -X23112Y-7034D01* -X23093Y-7062D01* -X23074Y-7118D01* -X23074Y-7203D01* -X23093Y-7259D01* -X23112Y-7287D01* -X23149Y-7315D01* -X23299Y-7315D01* -X22849Y-7597D02* -X22886Y-7569D01* -X22905Y-7540D01* -X22924Y-7484D01* -X22924Y-7315D01* -X22905Y-7259D01* -X22886Y-7231D01* -X22849Y-7203D01* -X22793Y-7203D01* -X22755Y-7231D01* -X22736Y-7259D01* -X22718Y-7315D01* -X22718Y-7484D01* -X22736Y-7540D01* -X22755Y-7569D01* -X22793Y-7597D01* -X22849Y-7597D01* -X22587Y-7203D02* -X22512Y-7597D01* -X22437Y-7315D01* -X22362Y-7597D01* -X22287Y-7203D01* -X21986Y-7569D02* -X22024Y-7597D01* -X22099Y-7597D01* -X22136Y-7569D01* -X22155Y-7512D01* -X22155Y-7287D01* -X22136Y-7231D01* -X22099Y-7203D01* -X22024Y-7203D01* -X21986Y-7231D01* -X21967Y-7287D01* -X21967Y-7343D01* -X22155Y-7400D01* -X21798Y-7597D02* -X21798Y-7203D01* -X21798Y-7315D02* -X21779Y-7259D01* -X21761Y-7231D01* -X21723Y-7203D01* -X21686Y-7203D01* -X21554Y-7597D02* -X21554Y-7203D01* -X21554Y-7259D02* -X21535Y-7231D01* -X21498Y-7203D01* -X21442Y-7203D01* -X21404Y-7231D01* -X21385Y-7287D01* -X21385Y-7597D01* -X21385Y-7287D02* -X21367Y-7231D01* -X21329Y-7203D01* -X21273Y-7203D01* -X21235Y-7231D01* -X21216Y-7287D01* -X21216Y-7597D01* -X20973Y-7597D02* -X21010Y-7569D01* -X21029Y-7540D01* -X21048Y-7484D01* -X21048Y-7315D01* -X21029Y-7259D01* -X21010Y-7231D01* -X20973Y-7203D01* -X20917Y-7203D01* -X20879Y-7231D01* -X20860Y-7259D01* -X20842Y-7315D01* -X20842Y-7484D01* -X20860Y-7540D01* -X20879Y-7569D01* -X20917Y-7597D01* -X20973Y-7597D01* -X20504Y-7597D02* -X20504Y-7006D01* -X20504Y-7569D02* -X20542Y-7597D01* -X20617Y-7597D01* -X20654Y-7569D01* -X20673Y-7540D01* -X20692Y-7484D01* -X20692Y-7315D01* -X20673Y-7259D01* -X20654Y-7231D01* -X20617Y-7203D01* -X20542Y-7203D01* -X20504Y-7231D01* -X20167Y-7569D02* -X20205Y-7597D01* -X20280Y-7597D01* -X20317Y-7569D01* -X20336Y-7512D01* -X20336Y-7287D01* -X20317Y-7231D01* -X20280Y-7203D01* -X20205Y-7203D01* -X20167Y-7231D01* -X20148Y-7287D01* -X20148Y-7343D01* -X20336Y-7400D01* -X18667Y-7006D02* -X18667Y-7428D01* -X18685Y-7512D01* -X18723Y-7569D01* -X18779Y-7597D01* -X18817Y-7597D01* -X18479Y-7597D02* -X18479Y-7006D01* -X18329Y-7006D01* -X18292Y-7034D01* -X18273Y-7062D01* -X18254Y-7118D01* -X18254Y-7203D01* -X18273Y-7259D01* -X18292Y-7287D01* -X18329Y-7315D01* -X18479Y-7315D01* -X18104Y-7062D02* -X18085Y-7034D01* -X18048Y-7006D01* -X17954Y-7006D01* -X17916Y-7034D01* -X17898Y-7062D01* -X17879Y-7118D01* -X17879Y-7175D01* -X17898Y-7259D01* -X18123Y-7597D01* -X17879Y-7597D01* -X16398Y-7006D02* -X16398Y-7428D01* -X16416Y-7512D01* -X16454Y-7569D01* -X16510Y-7597D01* -X16548Y-7597D01* -X16210Y-7597D02* -X16210Y-7006D01* -X16060Y-7006D01* -X16023Y-7034D01* -X16004Y-7062D01* -X15985Y-7118D01* -X15985Y-7203D01* -X16004Y-7259D01* -X16023Y-7287D01* -X16060Y-7315D01* -X16210Y-7315D01* -X15610Y-7597D02* -X15835Y-7597D01* -X15722Y-7597D02* -X15722Y-7006D01* -X15760Y-7090D01* -X15797Y-7146D01* -X15835Y-7175D01* -X23299Y-7932D02* -X23299Y-8410D01* -X23280Y-8466D01* -X23262Y-8495D01* -X23224Y-8523D01* -X23149Y-8523D01* -X23112Y-8495D01* -X23093Y-8466D01* -X23074Y-8410D01* -X23074Y-7932D01* -X22905Y-8495D02* -X22849Y-8523D01* -X22755Y-8523D01* -X22717Y-8495D01* -X22699Y-8466D01* -X22680Y-8410D01* -X22680Y-8354D01* -X22699Y-8298D01* -X22717Y-8269D01* -X22755Y-8241D01* -X22830Y-8213D01* -X22867Y-8185D01* -X22886Y-8157D01* -X22905Y-8101D01* -X22905Y-8044D01* -X22886Y-7988D01* -X22867Y-7960D01* -X22830Y-7932D01* -X22736Y-7932D01* -X22680Y-7960D01* -X22380Y-8213D02* -X22324Y-8241D01* -X22305Y-8269D01* -X22286Y-8326D01* -X22286Y-8410D01* -X22305Y-8466D01* -X22324Y-8495D01* -X22361Y-8523D01* -X22511Y-8523D01* -X22511Y-7932D01* -X22380Y-7932D01* -X22342Y-7960D01* -X22324Y-7988D01* -X22305Y-8044D01* -X22305Y-8101D01* -X22324Y-8157D01* -X22342Y-8185D01* -X22380Y-8213D01* -X22511Y-8213D01* -X19136Y-8495D02* -X19080Y-8523D01* -X18986Y-8523D01* -X18948Y-8495D01* -X18930Y-8466D01* -X18911Y-8410D01* -X18911Y-8354D01* -X18930Y-8298D01* -X18948Y-8269D01* -X18986Y-8241D01* -X19061Y-8213D01* -X19098Y-8185D01* -X19117Y-8157D01* -X19136Y-8101D01* -X19136Y-8044D01* -X19117Y-7988D01* -X19098Y-7960D01* -X19061Y-7932D01* -X18967Y-7932D01* -X18911Y-7960D01* -X18742Y-8523D02* -X18742Y-7932D01* -X18573Y-8523D02* -X18573Y-8213D01* -X18592Y-8157D01* -X18630Y-8129D01* -X18686Y-8129D01* -X18723Y-8157D01* -X18742Y-8185D01* -X18330Y-8523D02* -X18367Y-8495D01* -X18386Y-8466D01* -X18405Y-8410D01* -X18405Y-8241D01* -X18386Y-8185D01* -X18367Y-8157D01* -X18330Y-8129D01* -X18274Y-8129D01* -X18236Y-8157D01* -X18217Y-8185D01* -X18199Y-8241D01* -X18199Y-8410D01* -X18217Y-8466D01* -X18236Y-8495D01* -X18274Y-8523D01* -X18330Y-8523D01* -X18030Y-8523D02* -X18030Y-8129D01* -X18030Y-8241D02* -X18011Y-8185D01* -X17993Y-8157D01* -X17955Y-8129D01* -X17918Y-8129D01* -X17842Y-8129D02* -X17692Y-8129D01* -X17786Y-7932D02* -X17786Y-8438D01* -X17767Y-8495D01* -X17730Y-8523D01* -X17692Y-8523D01* -X16980Y-8495D02* -X16924Y-8523D01* -X16830Y-8523D01* -X16792Y-8495D01* -X16774Y-8466D01* -X16755Y-8410D01* -X16755Y-8354D01* -X16774Y-8298D01* -X16792Y-8269D01* -X16830Y-8241D01* -X16905Y-8213D01* -X16942Y-8185D01* -X16961Y-8157D01* -X16980Y-8101D01* -X16980Y-8044D01* -X16961Y-7988D01* -X16942Y-7960D01* -X16905Y-7932D01* -X16811Y-7932D01* -X16755Y-7960D01* -X16586Y-8523D02* -X16586Y-7932D01* -X16417Y-8523D02* -X16417Y-8213D01* -X16436Y-8157D01* -X16474Y-8129D01* -X16530Y-8129D01* -X16567Y-8157D01* -X16586Y-8185D01* -X16174Y-8523D02* -X16211Y-8495D01* -X16230Y-8466D01* -X16249Y-8410D01* -X16249Y-8241D01* -X16230Y-8185D01* -X16211Y-8157D01* -X16174Y-8129D01* -X16118Y-8129D01* -X16080Y-8157D01* -X16061Y-8185D01* -X16043Y-8241D01* -X16043Y-8410D01* -X16061Y-8466D01* -X16080Y-8495D01* -X16118Y-8523D01* -X16174Y-8523D01* -X15874Y-8523D02* -X15874Y-8129D01* -X15874Y-8241D02* -X15855Y-8185D01* -X15837Y-8157D01* -X15799Y-8129D01* -X15762Y-8129D01* -X15686Y-8129D02* -X15536Y-8129D01* -X15630Y-7932D02* -X15630Y-8438D01* -X15611Y-8495D01* -X15574Y-8523D01* -X15536Y-8523D01* -X23318Y-9421D02* -X23262Y-9449D01* -X23168Y-9449D01* -X23130Y-9421D01* -X23112Y-9392D01* -X23093Y-9336D01* -X23093Y-9280D01* -X23112Y-9224D01* -X23130Y-9195D01* -X23168Y-9167D01* -X23243Y-9139D01* -X23280Y-9111D01* -X23299Y-9083D01* -X23318Y-9027D01* -X23318Y-8970D01* -X23299Y-8914D01* -X23280Y-8886D01* -X23243Y-8858D01* -X23149Y-8858D01* -X23093Y-8886D01* -X22774Y-9421D02* -X22812Y-9449D01* -X22887Y-9449D01* -X22924Y-9421D01* -X22943Y-9364D01* -X22943Y-9139D01* -X22924Y-9083D01* -X22887Y-9055D01* -X22812Y-9055D01* -X22774Y-9083D01* -X22755Y-9139D01* -X22755Y-9195D01* -X22943Y-9252D01* -X22530Y-9449D02* -X22567Y-9421D01* -X22586Y-9364D01* -X22586Y-8858D01* -X22436Y-9055D02* -X22286Y-9055D01* -X22380Y-9449D02* -X22380Y-8942D01* -X22361Y-8886D01* -X22324Y-8858D01* -X22286Y-8858D01* -X22155Y-9055D02* -X22155Y-9646D01* -X22155Y-9083D02* -X22118Y-9055D01* -X22043Y-9055D01* -X22005Y-9083D01* -X21986Y-9111D01* -X21968Y-9167D01* -X21968Y-9336D01* -X21986Y-9392D01* -X22005Y-9421D01* -X22043Y-9449D01* -X22118Y-9449D01* -X22155Y-9421D01* -X21743Y-9449D02* -X21780Y-9421D01* -X21799Y-9392D01* -X21818Y-9336D01* -X21818Y-9167D01* -X21799Y-9111D01* -X21780Y-9083D01* -X21743Y-9055D01* -X21687Y-9055D01* -X21649Y-9083D01* -X21630Y-9111D01* -X21612Y-9167D01* -X21612Y-9336D01* -X21630Y-9392D01* -X21649Y-9421D01* -X21687Y-9449D01* -X21743Y-9449D01* -X21481Y-9055D02* -X21406Y-9449D01* -X21331Y-9167D01* -X21256Y-9449D01* -X21181Y-9055D01* -X20880Y-9421D02* -X20918Y-9449D01* -X20993Y-9449D01* -X21030Y-9421D01* -X21049Y-9364D01* -X21049Y-9139D01* -X21030Y-9083D01* -X20993Y-9055D01* -X20918Y-9055D01* -X20880Y-9083D01* -X20861Y-9139D01* -X20861Y-9195D01* -X21049Y-9252D01* -X20692Y-9449D02* -X20692Y-9055D01* -X20692Y-9167D02* -X20673Y-9111D01* -X20655Y-9083D01* -X20617Y-9055D01* -X20580Y-9055D01* -X20298Y-9421D02* -X20336Y-9449D01* -X20411Y-9449D01* -X20448Y-9421D01* -X20467Y-9364D01* -X20467Y-9139D01* -X20448Y-9083D01* -X20411Y-9055D01* -X20336Y-9055D01* -X20298Y-9083D01* -X20279Y-9139D01* -X20279Y-9195D01* -X20467Y-9252D01* -X19941Y-9449D02* -X19941Y-8858D01* -X19941Y-9421D02* -X19979Y-9449D01* -X20054Y-9449D01* -X20091Y-9421D01* -X20110Y-9392D01* -X20129Y-9336D01* -X20129Y-9167D01* -X20110Y-9111D01* -X20091Y-9083D01* -X20054Y-9055D01* -X19979Y-9055D01* -X19941Y-9083D01* -X19079Y-8858D02* -X19004Y-8858D01* -X18967Y-8886D01* -X18929Y-8942D01* -X18910Y-9055D01* -X18910Y-9252D01* -X18929Y-9364D01* -X18967Y-9421D01* -X19004Y-9449D01* -X19079Y-9449D01* -X19117Y-9421D01* -X19154Y-9364D01* -X19173Y-9252D01* -X19173Y-9055D01* -X19154Y-8942D01* -X19117Y-8886D01* -X19079Y-8858D01* -X18741Y-9055D02* -X18741Y-9646D01* -X18741Y-9083D02* -X18704Y-9055D01* -X18629Y-9055D01* -X18591Y-9083D01* -X18572Y-9111D01* -X18554Y-9167D01* -X18554Y-9336D01* -X18572Y-9392D01* -X18591Y-9421D01* -X18629Y-9449D01* -X18704Y-9449D01* -X18741Y-9421D01* -X18235Y-9421D02* -X18273Y-9449D01* -X18348Y-9449D01* -X18385Y-9421D01* -X18404Y-9364D01* -X18404Y-9139D01* -X18385Y-9083D01* -X18348Y-9055D01* -X18273Y-9055D01* -X18235Y-9083D01* -X18216Y-9139D01* -X18216Y-9195D01* -X18404Y-9252D01* -X18047Y-9055D02* -X18047Y-9449D01* -X18047Y-9111D02* -X18028Y-9083D01* -X17991Y-9055D01* -X17935Y-9055D01* -X17897Y-9083D01* -X17878Y-9139D01* -X17878Y-9449D01* -X16716Y-8858D02* -X16641Y-8858D01* -X16604Y-8886D01* -X16566Y-8942D01* -X16547Y-9055D01* -X16547Y-9252D01* -X16566Y-9364D01* -X16604Y-9421D01* -X16641Y-9449D01* -X16716Y-9449D01* -X16754Y-9421D01* -X16791Y-9364D01* -X16810Y-9252D01* -X16810Y-9055D01* -X16791Y-8942D01* -X16754Y-8886D01* -X16716Y-8858D01* -X16378Y-9055D02* -X16378Y-9646D01* -X16378Y-9083D02* -X16341Y-9055D01* -X16266Y-9055D01* -X16228Y-9083D01* -X16209Y-9111D01* -X16191Y-9167D01* -X16191Y-9336D01* -X16209Y-9392D01* -X16228Y-9421D01* -X16266Y-9449D01* -X16341Y-9449D01* -X16378Y-9421D01* -X15872Y-9421D02* -X15910Y-9449D01* -X15985Y-9449D01* -X16022Y-9421D01* -X16041Y-9364D01* -X16041Y-9139D01* -X16022Y-9083D01* -X15985Y-9055D01* -X15910Y-9055D01* -X15872Y-9083D01* -X15853Y-9139D01* -X15853Y-9195D01* -X16041Y-9252D01* -X15684Y-9055D02* -X15684Y-9449D01* -X15684Y-9111D02* -X15665Y-9083D01* -X15628Y-9055D01* -X15572Y-9055D01* -X15534Y-9083D01* -X15515Y-9139D01* -X15515Y-9449D01* -G54D11* -X23843Y-8708D02* -X14993Y-8708D01* -X23643Y-7808D02* -X15043Y-7808D01* -X23693Y-7808D02* -X23843Y-7808D01* -X15043Y-7808D02* -X14993Y-7808D01* -X23843Y-9908D02* -X14993Y-9908D01* -X14993Y-9908D02* -X14993Y-9808D01* -X14993Y-9908D02* -X14993Y-6758D01* -X14993Y-6758D02* -X15093Y-6758D01* -X15093Y-6758D02* -X23843Y-6758D01* -X23843Y-6758D02* -X23843Y-6808D01* -X23843Y-6808D02* -X23843Y-9908D01* -X19493Y-6758D02* -X19493Y-9908D01* -X19493Y-9908D02* -X19543Y-9908D01* -X17243Y-6758D02* -X17243Y-9908D01* -X17243Y-9908D02* -X17293Y-9908D01* -G54D12* -X6347Y-14171D02* -X6825Y-14171D01* -X6881Y-14152D01* -X6910Y-14133D01* -X6938Y-14096D01* -X6938Y-14039D01* -X6910Y-14002D01* -X6713Y-14171D02* -X6741Y-14133D01* -X6741Y-14058D01* -X6713Y-14021D01* -X6684Y-14002D01* -X6628Y-13983D01* -X6459Y-13983D01* -X6403Y-14002D01* -X6375Y-14021D01* -X6347Y-14058D01* -X6347Y-14133D01* -X6375Y-14171D01* -X6741Y-14358D02* -X6347Y-14358D01* -X6150Y-14358D02* -X6178Y-14339D01* -X6206Y-14358D01* -X6178Y-14377D01* -X6150Y-14358D01* -X6206Y-14358D01* -X6347Y-14490D02* -X6347Y-14640D01* -X6150Y-14546D02* -X6656Y-14546D01* -X6713Y-14565D01* -X6741Y-14602D01* -X6741Y-14640D01* -X6741Y-14771D02* -X6150Y-14771D01* -X6741Y-14940D02* -X6431Y-14940D01* -X6375Y-14921D01* -X6347Y-14883D01* -X6347Y-14827D01* -X6375Y-14790D01* -X6403Y-14771D01* -X6347Y-15296D02* -X6741Y-15296D01* -X6347Y-15127D02* -X6656Y-15127D01* -X6713Y-15146D01* -X6741Y-15183D01* -X6741Y-15239D01* -X6713Y-15277D01* -X6684Y-15296D01* -X6741Y-15483D02* -X6150Y-15483D01* -X6375Y-15483D02* -X6347Y-15520D01* -X6347Y-15595D01* -X6375Y-15633D01* -X6403Y-15652D01* -X6459Y-15670D01* -X6628Y-15670D01* -X6684Y-15652D01* -X6713Y-15633D01* -X6741Y-15595D01* -X6741Y-15520D01* -X6713Y-15483D01* -X6684Y-15839D02* -X6713Y-15858D01* -X6741Y-15839D01* -X6713Y-15820D01* -X6684Y-15839D01* -X6741Y-15839D01* -X6713Y-16196D02* -X6741Y-16158D01* -X6741Y-16083D01* -X6713Y-16046D01* -X6684Y-16027D01* -X6628Y-16008D01* -X6459Y-16008D01* -X6403Y-16027D01* -X6375Y-16046D01* -X6347Y-16083D01* -X6347Y-16158D01* -X6375Y-16196D01* -X6741Y-16421D02* -X6713Y-16384D01* -X6684Y-16365D01* -X6628Y-16346D01* -X6459Y-16346D01* -X6403Y-16365D01* -X6375Y-16384D01* -X6347Y-16421D01* -X6347Y-16477D01* -X6375Y-16515D01* -X6403Y-16534D01* -X6459Y-16552D01* -X6628Y-16552D01* -X6684Y-16534D01* -X6713Y-16515D01* -X6741Y-16477D01* -X6741Y-16421D01* -X6741Y-16721D02* -X6347Y-16721D01* -X6403Y-16721D02* -X6375Y-16740D01* -X6347Y-16777D01* -X6347Y-16833D01* -X6375Y-16871D01* -X6431Y-16890D01* -X6741Y-16890D01* -X6431Y-16890D02* -X6375Y-16908D01* -X6347Y-16946D01* -X6347Y-17002D01* -X6375Y-17040D01* -X6431Y-17059D01* -X6741Y-17059D01* -X6122Y-17527D02* -X6881Y-17190D01* -X6741Y-17846D02* -X6741Y-17659D01* -X6150Y-17659D01* -X6741Y-18034D02* -X6713Y-17997D01* -X6684Y-17978D01* -X6628Y-17959D01* -X6459Y-17959D01* -X6403Y-17978D01* -X6375Y-17997D01* -X6347Y-18034D01* -X6347Y-18090D01* -X6375Y-18128D01* -X6403Y-18147D01* -X6459Y-18165D01* -X6628Y-18165D01* -X6684Y-18147D01* -X6713Y-18128D01* -X6741Y-18090D01* -X6741Y-18034D01* -X6347Y-18334D02* -X6741Y-18334D01* -X6403Y-18334D02* -X6375Y-18353D01* -X6347Y-18390D01* -X6347Y-18446D01* -X6375Y-18484D01* -X6431Y-18503D01* -X6741Y-18503D01* -X6347Y-18859D02* -X6825Y-18859D01* -X6881Y-18840D01* -X6910Y-18821D01* -X6938Y-18784D01* -X6938Y-18727D01* -X6910Y-18690D01* -X6713Y-18859D02* -X6741Y-18821D01* -X6741Y-18746D01* -X6713Y-18709D01* -X6684Y-18690D01* -X6628Y-18671D01* -X6459Y-18671D01* -X6403Y-18690D01* -X6375Y-18709D01* -X6347Y-18746D01* -X6347Y-18821D01* -X6375Y-18859D01* -X6741Y-19046D02* -X6150Y-19046D01* -X6431Y-19046D02* -X6431Y-19271D01* -X6741Y-19271D02* -X6150Y-19271D01* -X6741Y-19628D02* -X6431Y-19628D01* -X6375Y-19609D01* -X6347Y-19571D01* -X6347Y-19496D01* -X6375Y-19459D01* -X6713Y-19628D02* -X6741Y-19590D01* -X6741Y-19496D01* -X6713Y-19459D01* -X6656Y-19440D01* -X6600Y-19440D01* -X6544Y-19459D01* -X6516Y-19496D01* -X6516Y-19590D01* -X6487Y-19628D01* -X6741Y-19815D02* -X6347Y-19815D01* -X6150Y-19815D02* -X6178Y-19796D01* -X6206Y-19815D01* -X6178Y-19834D01* -X6150Y-19815D01* -X6206Y-19815D01* -X6741Y-20003D02* -X6347Y-20003D01* -X6459Y-20003D02* -X6403Y-20022D01* -X6375Y-20040D01* -X6347Y-20078D01* -X6347Y-20115D01* -X6713Y-20397D02* -X6741Y-20359D01* -X6741Y-20284D01* -X6713Y-20247D01* -X6656Y-20228D01* -X6431Y-20228D01* -X6375Y-20247D01* -X6347Y-20284D01* -X6347Y-20359D01* -X6375Y-20397D01* -X6431Y-20416D01* -X6487Y-20416D01* -X6544Y-20228D01* -X6741Y-20754D02* -X6150Y-20754D01* -X6713Y-20754D02* -X6741Y-20716D01* -X6741Y-20641D01* -X6713Y-20604D01* -X6684Y-20585D01* -X6628Y-20566D01* -X6459Y-20566D01* -X6403Y-20585D01* -X6375Y-20604D01* -X6347Y-20641D01* -X6347Y-20716D01* -X6375Y-20754D01* -X6741Y-20941D02* -X6150Y-20941D01* -X6431Y-20941D02* -X6431Y-21166D01* -X6741Y-21166D02* -X6150Y-21166D01* -X6741Y-21523D02* -X6431Y-21523D01* -X6375Y-21504D01* -X6347Y-21466D01* -X6347Y-21391D01* -X6375Y-21354D01* -X6713Y-21523D02* -X6741Y-21485D01* -X6741Y-21391D01* -X6713Y-21354D01* -X6656Y-21335D01* -X6600Y-21335D01* -X6544Y-21354D01* -X6516Y-21391D01* -X6516Y-21485D01* -X6487Y-21523D01* -X6713Y-21879D02* -X6741Y-21841D01* -X6741Y-21766D01* -X6713Y-21729D01* -X6684Y-21710D01* -X6628Y-21691D01* -X6459Y-21691D01* -X6403Y-21710D01* -X6375Y-21729D01* -X6347Y-21766D01* -X6347Y-21841D01* -X6375Y-21879D01* -X6741Y-22048D02* -X6150Y-22048D01* -X6516Y-22085D02* -X6741Y-22198D01* -X6347Y-22198D02* -X6572Y-22048D01* -X6713Y-22517D02* -X6741Y-22479D01* -X6741Y-22404D01* -X6713Y-22367D01* -X6656Y-22348D01* -X6431Y-22348D01* -X6375Y-22367D01* -X6347Y-22404D01* -X6347Y-22479D01* -X6375Y-22517D01* -X6431Y-22536D01* -X6487Y-22536D01* -X6544Y-22348D01* -X6741Y-22705D02* -X6347Y-22705D01* -X6459Y-22705D02* -X6403Y-22724D01* -X6375Y-22742D01* -X6347Y-22780D01* -X6347Y-22817D01* -X6122Y-23230D02* -X6881Y-22893D01* -X6741Y-23362D02* -X6150Y-23362D01* -X6516Y-23399D02* -X6741Y-23512D01* -X6347Y-23512D02* -X6572Y-23362D01* -X6741Y-23850D02* -X6431Y-23850D01* -X6375Y-23831D01* -X6347Y-23793D01* -X6347Y-23718D01* -X6375Y-23681D01* -X6713Y-23850D02* -X6741Y-23812D01* -X6741Y-23718D01* -X6713Y-23681D01* -X6656Y-23662D01* -X6600Y-23662D01* -X6544Y-23681D01* -X6516Y-23718D01* -X6516Y-23812D01* -X6487Y-23850D01* -X6741Y-24037D02* -X6150Y-24037D01* -X6375Y-24037D02* -X6347Y-24074D01* -X6347Y-24149D01* -X6375Y-24187D01* -X6403Y-24206D01* -X6459Y-24224D01* -X6628Y-24224D01* -X6684Y-24206D01* -X6713Y-24187D01* -X6741Y-24149D01* -X6741Y-24074D01* -X6713Y-24037D01* -X6741Y-24449D02* -X6713Y-24412D01* -X6684Y-24393D01* -X6628Y-24374D01* -X6459Y-24374D01* -X6403Y-24393D01* -X6375Y-24412D01* -X6347Y-24449D01* -X6347Y-24505D01* -X6375Y-24543D01* -X6403Y-24562D01* -X6459Y-24580D01* -X6628Y-24580D01* -X6684Y-24562D01* -X6713Y-24543D01* -X6741Y-24505D01* -X6741Y-24449D01* -X6741Y-24918D02* -X6431Y-24918D01* -X6375Y-24899D01* -X6347Y-24861D01* -X6347Y-24786D01* -X6375Y-24749D01* -X6713Y-24918D02* -X6741Y-24880D01* -X6741Y-24786D01* -X6713Y-24749D01* -X6656Y-24730D01* -X6600Y-24730D01* -X6544Y-24749D01* -X6516Y-24786D01* -X6516Y-24880D01* -X6487Y-24918D01* -X6741Y-25105D02* -X6347Y-25105D01* -X6459Y-25105D02* -X6403Y-25124D01* -X6375Y-25142D01* -X6347Y-25180D01* -X6347Y-25217D01* -X6741Y-25518D02* -X6150Y-25518D01* -X6713Y-25518D02* -X6741Y-25480D01* -X6741Y-25405D01* -X6713Y-25368D01* -X6684Y-25349D01* -X6628Y-25330D01* -X6459Y-25330D01* -X6403Y-25349D01* -X6375Y-25368D01* -X6347Y-25405D01* -X6347Y-25480D01* -X6375Y-25518D01* -X10308Y-7598D02* -X10308Y-7007D01* -X10158Y-7007D01* -X10121Y-7035D01* -X10102Y-7063D01* -X10083Y-7119D01* -X10083Y-7204D01* -X10102Y-7260D01* -X10121Y-7288D01* -X10158Y-7316D01* -X10308Y-7316D01* -X9858Y-7598D02* -X9895Y-7570D01* -X9914Y-7541D01* -X9933Y-7485D01* -X9933Y-7316D01* -X9914Y-7260D01* -X9895Y-7232D01* -X9858Y-7204D01* -X9802Y-7204D01* -X9764Y-7232D01* -X9745Y-7260D01* -X9727Y-7316D01* -X9727Y-7485D01* -X9745Y-7541D01* -X9764Y-7570D01* -X9802Y-7598D01* -X9858Y-7598D01* -X9596Y-7204D02* -X9521Y-7598D01* -X9446Y-7316D01* -X9371Y-7598D01* -X9296Y-7204D01* -X8995Y-7570D02* -X9033Y-7598D01* -X9108Y-7598D01* -X9145Y-7570D01* -X9164Y-7513D01* -X9164Y-7288D01* -X9145Y-7232D01* -X9108Y-7204D01* -X9033Y-7204D01* -X8995Y-7232D01* -X8976Y-7288D01* -X8976Y-7344D01* -X9164Y-7401D01* -X8807Y-7598D02* -X8807Y-7204D01* -X8807Y-7316D02* -X8788Y-7260D01* -X8770Y-7232D01* -X8732Y-7204D01* -X8695Y-7204D01* -X8563Y-7598D02* -X8563Y-7204D01* -X8563Y-7260D02* -X8544Y-7232D01* -X8507Y-7204D01* -X8451Y-7204D01* -X8413Y-7232D01* -X8394Y-7288D01* -X8394Y-7598D01* -X8394Y-7288D02* -X8376Y-7232D01* -X8338Y-7204D01* -X8282Y-7204D01* -X8244Y-7232D01* -X8225Y-7288D01* -X8225Y-7598D01* -X7982Y-7598D02* -X8019Y-7570D01* -X8038Y-7541D01* -X8057Y-7485D01* -X8057Y-7316D01* -X8038Y-7260D01* -X8019Y-7232D01* -X7982Y-7204D01* -X7926Y-7204D01* -X7888Y-7232D01* -X7869Y-7260D01* -X7851Y-7316D01* -X7851Y-7485D01* -X7869Y-7541D01* -X7888Y-7570D01* -X7926Y-7598D01* -X7982Y-7598D01* -X7513Y-7598D02* -X7513Y-7007D01* -X7513Y-7570D02* -X7551Y-7598D01* -X7626Y-7598D01* -X7663Y-7570D01* -X7682Y-7541D01* -X7701Y-7485D01* -X7701Y-7316D01* -X7682Y-7260D01* -X7663Y-7232D01* -X7626Y-7204D01* -X7551Y-7204D01* -X7513Y-7232D01* -X7176Y-7570D02* -X7214Y-7598D01* -X7289Y-7598D01* -X7326Y-7570D01* -X7345Y-7513D01* -X7345Y-7288D01* -X7326Y-7232D01* -X7289Y-7204D01* -X7214Y-7204D01* -X7176Y-7232D01* -X7157Y-7288D01* -X7157Y-7344D01* -X7345Y-7401D01* -X5676Y-7007D02* -X5676Y-7429D01* -X5694Y-7513D01* -X5732Y-7570D01* -X5788Y-7598D01* -X5826Y-7598D01* -X5488Y-7598D02* -X5488Y-7007D01* -X5338Y-7007D01* -X5301Y-7035D01* -X5282Y-7063D01* -X5263Y-7119D01* -X5263Y-7204D01* -X5282Y-7260D01* -X5301Y-7288D01* -X5338Y-7316D01* -X5488Y-7316D01* -X5113Y-7063D02* -X5094Y-7035D01* -X5057Y-7007D01* -X4963Y-7007D01* -X4925Y-7035D01* -X4907Y-7063D01* -X4888Y-7119D01* -X4888Y-7176D01* -X4907Y-7260D01* -X5132Y-7598D01* -X4888Y-7598D01* -X3407Y-7007D02* -X3407Y-7429D01* -X3425Y-7513D01* -X3463Y-7570D01* -X3519Y-7598D01* -X3557Y-7598D01* -X3219Y-7598D02* -X3219Y-7007D01* -X3069Y-7007D01* -X3032Y-7035D01* -X3013Y-7063D01* -X2994Y-7119D01* -X2994Y-7204D01* -X3013Y-7260D01* -X3032Y-7288D01* -X3069Y-7316D01* -X3219Y-7316D01* -X2619Y-7598D02* -X2844Y-7598D01* -X2731Y-7598D02* -X2731Y-7007D01* -X2769Y-7091D01* -X2806Y-7147D01* -X2844Y-7176D01* -X10308Y-7933D02* -X10308Y-8411D01* -X10289Y-8467D01* -X10271Y-8496D01* -X10233Y-8524D01* -X10158Y-8524D01* -X10121Y-8496D01* -X10102Y-8467D01* -X10083Y-8411D01* -X10083Y-7933D01* -X9914Y-8496D02* -X9858Y-8524D01* -X9764Y-8524D01* -X9726Y-8496D01* -X9708Y-8467D01* -X9689Y-8411D01* -X9689Y-8355D01* -X9708Y-8299D01* -X9726Y-8270D01* -X9764Y-8242D01* -X9839Y-8214D01* -X9876Y-8186D01* -X9895Y-8158D01* -X9914Y-8102D01* -X9914Y-8045D01* -X9895Y-7989D01* -X9876Y-7961D01* -X9839Y-7933D01* -X9745Y-7933D01* -X9689Y-7961D01* -X9389Y-8214D02* -X9333Y-8242D01* -X9314Y-8270D01* -X9295Y-8327D01* -X9295Y-8411D01* -X9314Y-8467D01* -X9333Y-8496D01* -X9370Y-8524D01* -X9520Y-8524D01* -X9520Y-7933D01* -X9389Y-7933D01* -X9351Y-7961D01* -X9333Y-7989D01* -X9314Y-8045D01* -X9314Y-8102D01* -X9333Y-8158D01* -X9351Y-8186D01* -X9389Y-8214D01* -X9520Y-8214D01* -X6145Y-8496D02* -X6089Y-8524D01* -X5995Y-8524D01* -X5957Y-8496D01* -X5939Y-8467D01* -X5920Y-8411D01* -X5920Y-8355D01* -X5939Y-8299D01* -X5957Y-8270D01* -X5995Y-8242D01* -X6070Y-8214D01* -X6107Y-8186D01* -X6126Y-8158D01* -X6145Y-8102D01* -X6145Y-8045D01* -X6126Y-7989D01* -X6107Y-7961D01* -X6070Y-7933D01* -X5976Y-7933D01* -X5920Y-7961D01* -X5751Y-8524D02* -X5751Y-7933D01* -X5582Y-8524D02* -X5582Y-8214D01* -X5601Y-8158D01* -X5639Y-8130D01* -X5695Y-8130D01* -X5732Y-8158D01* -X5751Y-8186D01* -X5339Y-8524D02* -X5376Y-8496D01* -X5395Y-8467D01* -X5414Y-8411D01* -X5414Y-8242D01* -X5395Y-8186D01* -X5376Y-8158D01* -X5339Y-8130D01* -X5283Y-8130D01* -X5245Y-8158D01* -X5226Y-8186D01* -X5208Y-8242D01* -X5208Y-8411D01* -X5226Y-8467D01* -X5245Y-8496D01* -X5283Y-8524D01* -X5339Y-8524D01* -X5039Y-8524D02* -X5039Y-8130D01* -X5039Y-8242D02* -X5020Y-8186D01* -X5002Y-8158D01* -X4964Y-8130D01* -X4927Y-8130D01* -X4851Y-8130D02* -X4701Y-8130D01* -X4795Y-7933D02* -X4795Y-8439D01* -X4776Y-8496D01* -X4739Y-8524D01* -X4701Y-8524D01* -X3989Y-8496D02* -X3933Y-8524D01* -X3839Y-8524D01* -X3801Y-8496D01* -X3783Y-8467D01* -X3764Y-8411D01* -X3764Y-8355D01* -X3783Y-8299D01* -X3801Y-8270D01* -X3839Y-8242D01* -X3914Y-8214D01* -X3951Y-8186D01* -X3970Y-8158D01* -X3989Y-8102D01* -X3989Y-8045D01* -X3970Y-7989D01* -X3951Y-7961D01* -X3914Y-7933D01* -X3820Y-7933D01* -X3764Y-7961D01* -X3595Y-8524D02* -X3595Y-7933D01* -X3426Y-8524D02* -X3426Y-8214D01* -X3445Y-8158D01* -X3483Y-8130D01* -X3539Y-8130D01* -X3576Y-8158D01* -X3595Y-8186D01* -X3183Y-8524D02* -X3220Y-8496D01* -X3239Y-8467D01* -X3258Y-8411D01* -X3258Y-8242D01* -X3239Y-8186D01* -X3220Y-8158D01* -X3183Y-8130D01* -X3127Y-8130D01* -X3089Y-8158D01* -X3070Y-8186D01* -X3052Y-8242D01* -X3052Y-8411D01* -X3070Y-8467D01* -X3089Y-8496D01* -X3127Y-8524D01* -X3183Y-8524D01* -X2883Y-8524D02* -X2883Y-8130D01* -X2883Y-8242D02* -X2864Y-8186D01* -X2846Y-8158D01* -X2808Y-8130D01* -X2771Y-8130D01* -X2695Y-8130D02* -X2545Y-8130D01* -X2639Y-7933D02* -X2639Y-8439D01* -X2620Y-8496D01* -X2583Y-8524D01* -X2545Y-8524D01* -X10327Y-9422D02* -X10271Y-9450D01* -X10177Y-9450D01* -X10139Y-9422D01* -X10121Y-9393D01* -X10102Y-9337D01* -X10102Y-9281D01* -X10121Y-9225D01* -X10139Y-9196D01* -X10177Y-9168D01* -X10252Y-9140D01* -X10289Y-9112D01* -X10308Y-9084D01* -X10327Y-9028D01* -X10327Y-8971D01* -X10308Y-8915D01* -X10289Y-8887D01* -X10252Y-8859D01* -X10158Y-8859D01* -X10102Y-8887D01* -X9783Y-9422D02* -X9821Y-9450D01* -X9896Y-9450D01* -X9933Y-9422D01* -X9952Y-9365D01* -X9952Y-9140D01* -X9933Y-9084D01* -X9896Y-9056D01* -X9821Y-9056D01* -X9783Y-9084D01* -X9764Y-9140D01* -X9764Y-9196D01* -X9952Y-9253D01* -X9539Y-9450D02* -X9576Y-9422D01* -X9595Y-9365D01* -X9595Y-8859D01* -X9445Y-9056D02* -X9295Y-9056D01* -X9389Y-9450D02* -X9389Y-8943D01* -X9370Y-8887D01* -X9333Y-8859D01* -X9295Y-8859D01* -X9164Y-9056D02* -X9164Y-9647D01* -X9164Y-9084D02* -X9127Y-9056D01* -X9052Y-9056D01* -X9014Y-9084D01* -X8995Y-9112D01* -X8977Y-9168D01* -X8977Y-9337D01* -X8995Y-9393D01* -X9014Y-9422D01* -X9052Y-9450D01* -X9127Y-9450D01* -X9164Y-9422D01* -X8752Y-9450D02* -X8789Y-9422D01* -X8808Y-9393D01* -X8827Y-9337D01* -X8827Y-9168D01* -X8808Y-9112D01* -X8789Y-9084D01* -X8752Y-9056D01* -X8696Y-9056D01* -X8658Y-9084D01* -X8639Y-9112D01* -X8621Y-9168D01* -X8621Y-9337D01* -X8639Y-9393D01* -X8658Y-9422D01* -X8696Y-9450D01* -X8752Y-9450D01* -X8490Y-9056D02* -X8415Y-9450D01* -X8340Y-9168D01* -X8265Y-9450D01* -X8190Y-9056D01* -X7889Y-9422D02* -X7927Y-9450D01* -X8002Y-9450D01* -X8039Y-9422D01* -X8058Y-9365D01* -X8058Y-9140D01* -X8039Y-9084D01* -X8002Y-9056D01* -X7927Y-9056D01* -X7889Y-9084D01* -X7870Y-9140D01* -X7870Y-9196D01* -X8058Y-9253D01* -X7701Y-9450D02* -X7701Y-9056D01* -X7701Y-9168D02* -X7682Y-9112D01* -X7664Y-9084D01* -X7626Y-9056D01* -X7589Y-9056D01* -X7307Y-9422D02* -X7345Y-9450D01* -X7420Y-9450D01* -X7457Y-9422D01* -X7476Y-9365D01* -X7476Y-9140D01* -X7457Y-9084D01* -X7420Y-9056D01* -X7345Y-9056D01* -X7307Y-9084D01* -X7288Y-9140D01* -X7288Y-9196D01* -X7476Y-9253D01* -X6950Y-9450D02* -X6950Y-8859D01* -X6950Y-9422D02* -X6988Y-9450D01* -X7063Y-9450D01* -X7100Y-9422D01* -X7119Y-9393D01* -X7138Y-9337D01* -X7138Y-9168D01* -X7119Y-9112D01* -X7100Y-9084D01* -X7063Y-9056D01* -X6988Y-9056D01* -X6950Y-9084D01* -X6088Y-8859D02* -X6013Y-8859D01* -X5976Y-8887D01* -X5938Y-8943D01* -X5919Y-9056D01* -X5919Y-9253D01* -X5938Y-9365D01* -X5976Y-9422D01* -X6013Y-9450D01* -X6088Y-9450D01* -X6126Y-9422D01* -X6163Y-9365D01* -X6182Y-9253D01* -X6182Y-9056D01* -X6163Y-8943D01* -X6126Y-8887D01* -X6088Y-8859D01* -X5750Y-9056D02* -X5750Y-9647D01* -X5750Y-9084D02* -X5713Y-9056D01* -X5638Y-9056D01* -X5600Y-9084D01* -X5581Y-9112D01* -X5563Y-9168D01* -X5563Y-9337D01* -X5581Y-9393D01* -X5600Y-9422D01* -X5638Y-9450D01* -X5713Y-9450D01* -X5750Y-9422D01* -X5244Y-9422D02* -X5282Y-9450D01* -X5357Y-9450D01* -X5394Y-9422D01* -X5413Y-9365D01* -X5413Y-9140D01* -X5394Y-9084D01* -X5357Y-9056D01* -X5282Y-9056D01* -X5244Y-9084D01* -X5225Y-9140D01* -X5225Y-9196D01* -X5413Y-9253D01* -X5056Y-9056D02* -X5056Y-9450D01* -X5056Y-9112D02* -X5037Y-9084D01* -X5000Y-9056D01* -X4944Y-9056D01* -X4906Y-9084D01* -X4887Y-9140D01* -X4887Y-9450D01* -X3725Y-8859D02* -X3650Y-8859D01* -X3613Y-8887D01* -X3575Y-8943D01* -X3556Y-9056D01* -X3556Y-9253D01* -X3575Y-9365D01* -X3613Y-9422D01* -X3650Y-9450D01* -X3725Y-9450D01* -X3763Y-9422D01* -X3800Y-9365D01* -X3819Y-9253D01* -X3819Y-9056D01* -X3800Y-8943D01* -X3763Y-8887D01* -X3725Y-8859D01* -X3387Y-9056D02* -X3387Y-9647D01* -X3387Y-9084D02* -X3350Y-9056D01* -X3275Y-9056D01* -X3237Y-9084D01* -X3218Y-9112D01* -X3200Y-9168D01* -X3200Y-9337D01* -X3218Y-9393D01* -X3237Y-9422D01* -X3275Y-9450D01* -X3350Y-9450D01* -X3387Y-9422D01* -X2881Y-9422D02* -X2919Y-9450D01* -X2994Y-9450D01* -X3031Y-9422D01* -X3050Y-9365D01* -X3050Y-9140D01* -X3031Y-9084D01* -X2994Y-9056D01* -X2919Y-9056D01* -X2881Y-9084D01* -X2862Y-9140D01* -X2862Y-9196D01* -X3050Y-9253D01* -X2693Y-9056D02* -X2693Y-9450D01* -X2693Y-9112D02* -X2674Y-9084D01* -X2637Y-9056D01* -X2581Y-9056D01* -X2543Y-9084D01* -X2524Y-9140D01* -X2524Y-9450D01* -G54D11* -X10852Y-8709D02* -X2002Y-8709D01* -X10652Y-7809D02* -X2052Y-7809D01* -X10702Y-7809D02* -X10852Y-7809D01* -X2052Y-7809D02* -X2002Y-7809D01* -X10852Y-9909D02* -X2002Y-9909D01* -X2002Y-9909D02* -X2002Y-9809D01* -X2002Y-9909D02* -X2002Y-6759D01* -X2002Y-6759D02* -X2102Y-6759D01* -X2102Y-6759D02* -X10852Y-6759D01* -X10852Y-6759D02* -X10852Y-6809D01* -X10852Y-6809D02* -X10852Y-9909D01* -X6502Y-6759D02* -X6502Y-9909D01* -X6502Y-9909D02* -X6552Y-9909D01* -X4252Y-6759D02* -X4252Y-9909D01* -X4252Y-9909D02* -X4302Y-9909D01* -X30629Y-9909D02* -X30679Y-9909D01* -X30629Y-6759D02* -X30629Y-9909D01* -X32879Y-9909D02* -X32929Y-9909D01* -X32879Y-6759D02* -X32879Y-9909D01* -X37229Y-6809D02* -X37229Y-9909D01* -X37229Y-6759D02* -X37229Y-6809D01* -X28479Y-6759D02* -X37229Y-6759D01* -X28379Y-6759D02* -X28479Y-6759D01* -X28379Y-9909D02* -X28379Y-6759D01* -X28379Y-9909D02* -X28379Y-9809D01* -X37229Y-9909D02* -X28379Y-9909D01* -X28429Y-7809D02* -X28379Y-7809D01* -X37079Y-7809D02* -X37229Y-7809D01* -X37029Y-7809D02* -X28429Y-7809D01* -X37229Y-8709D02* -X28379Y-8709D01* -G54D12* -X36685Y-7598D02* -X36685Y-7007D01* -X36535Y-7007D01* -X36498Y-7035D01* -X36479Y-7063D01* -X36460Y-7119D01* -X36460Y-7204D01* -X36479Y-7260D01* -X36498Y-7288D01* -X36535Y-7316D01* -X36685Y-7316D01* -X36235Y-7598D02* -X36272Y-7570D01* -X36291Y-7541D01* -X36310Y-7485D01* -X36310Y-7316D01* -X36291Y-7260D01* -X36272Y-7232D01* -X36235Y-7204D01* -X36179Y-7204D01* -X36141Y-7232D01* -X36122Y-7260D01* -X36104Y-7316D01* -X36104Y-7485D01* -X36122Y-7541D01* -X36141Y-7570D01* -X36179Y-7598D01* -X36235Y-7598D01* -X35973Y-7204D02* -X35898Y-7598D01* -X35823Y-7316D01* -X35748Y-7598D01* -X35673Y-7204D01* -X35372Y-7570D02* -X35410Y-7598D01* -X35485Y-7598D01* -X35522Y-7570D01* -X35541Y-7513D01* -X35541Y-7288D01* -X35522Y-7232D01* -X35485Y-7204D01* -X35410Y-7204D01* -X35372Y-7232D01* -X35353Y-7288D01* -X35353Y-7344D01* -X35541Y-7401D01* -X35184Y-7598D02* -X35184Y-7204D01* -X35184Y-7316D02* -X35165Y-7260D01* -X35147Y-7232D01* -X35109Y-7204D01* -X35072Y-7204D01* -X34940Y-7598D02* -X34940Y-7204D01* -X34940Y-7260D02* -X34921Y-7232D01* -X34884Y-7204D01* -X34828Y-7204D01* -X34790Y-7232D01* -X34771Y-7288D01* -X34771Y-7598D01* -X34771Y-7288D02* -X34753Y-7232D01* -X34715Y-7204D01* -X34659Y-7204D01* -X34621Y-7232D01* -X34602Y-7288D01* -X34602Y-7598D01* -X34359Y-7598D02* -X34396Y-7570D01* -X34415Y-7541D01* -X34434Y-7485D01* -X34434Y-7316D01* -X34415Y-7260D01* -X34396Y-7232D01* -X34359Y-7204D01* -X34303Y-7204D01* -X34265Y-7232D01* -X34246Y-7260D01* -X34228Y-7316D01* -X34228Y-7485D01* -X34246Y-7541D01* -X34265Y-7570D01* -X34303Y-7598D01* -X34359Y-7598D01* -X33890Y-7598D02* -X33890Y-7007D01* -X33890Y-7570D02* -X33928Y-7598D01* -X34003Y-7598D01* -X34040Y-7570D01* -X34059Y-7541D01* -X34078Y-7485D01* -X34078Y-7316D01* -X34059Y-7260D01* -X34040Y-7232D01* -X34003Y-7204D01* -X33928Y-7204D01* -X33890Y-7232D01* -X33553Y-7570D02* -X33591Y-7598D01* -X33666Y-7598D01* -X33703Y-7570D01* -X33722Y-7513D01* -X33722Y-7288D01* -X33703Y-7232D01* -X33666Y-7204D01* -X33591Y-7204D01* -X33553Y-7232D01* -X33534Y-7288D01* -X33534Y-7344D01* -X33722Y-7401D01* -X32053Y-7007D02* -X32053Y-7429D01* -X32071Y-7513D01* -X32109Y-7570D01* -X32165Y-7598D01* -X32203Y-7598D01* -X31865Y-7598D02* -X31865Y-7007D01* -X31715Y-7007D01* -X31678Y-7035D01* -X31659Y-7063D01* -X31640Y-7119D01* -X31640Y-7204D01* -X31659Y-7260D01* -X31678Y-7288D01* -X31715Y-7316D01* -X31865Y-7316D01* -X31490Y-7063D02* -X31471Y-7035D01* -X31434Y-7007D01* -X31340Y-7007D01* -X31302Y-7035D01* -X31284Y-7063D01* -X31265Y-7119D01* -X31265Y-7176D01* -X31284Y-7260D01* -X31509Y-7598D01* -X31265Y-7598D01* -X29784Y-7007D02* -X29784Y-7429D01* -X29802Y-7513D01* -X29840Y-7570D01* -X29896Y-7598D01* -X29934Y-7598D01* -X29596Y-7598D02* -X29596Y-7007D01* -X29446Y-7007D01* -X29409Y-7035D01* -X29390Y-7063D01* -X29371Y-7119D01* -X29371Y-7204D01* -X29390Y-7260D01* -X29409Y-7288D01* -X29446Y-7316D01* -X29596Y-7316D01* -X28996Y-7598D02* -X29221Y-7598D01* -X29108Y-7598D02* -X29108Y-7007D01* -X29146Y-7091D01* -X29183Y-7147D01* -X29221Y-7176D01* -X36685Y-7933D02* -X36685Y-8411D01* -X36666Y-8467D01* -X36648Y-8496D01* -X36610Y-8524D01* -X36535Y-8524D01* -X36498Y-8496D01* -X36479Y-8467D01* -X36460Y-8411D01* -X36460Y-7933D01* -X36291Y-8496D02* -X36235Y-8524D01* -X36141Y-8524D01* -X36103Y-8496D01* -X36085Y-8467D01* -X36066Y-8411D01* -X36066Y-8355D01* -X36085Y-8299D01* -X36103Y-8270D01* -X36141Y-8242D01* -X36216Y-8214D01* -X36253Y-8186D01* -X36272Y-8158D01* -X36291Y-8102D01* -X36291Y-8045D01* -X36272Y-7989D01* -X36253Y-7961D01* -X36216Y-7933D01* -X36122Y-7933D01* -X36066Y-7961D01* -X35766Y-8214D02* -X35710Y-8242D01* -X35691Y-8270D01* -X35672Y-8327D01* -X35672Y-8411D01* -X35691Y-8467D01* -X35710Y-8496D01* -X35747Y-8524D01* -X35897Y-8524D01* -X35897Y-7933D01* -X35766Y-7933D01* -X35728Y-7961D01* -X35710Y-7989D01* -X35691Y-8045D01* -X35691Y-8102D01* -X35710Y-8158D01* -X35728Y-8186D01* -X35766Y-8214D01* -X35897Y-8214D01* -X32522Y-8496D02* -X32466Y-8524D01* -X32372Y-8524D01* -X32334Y-8496D01* -X32316Y-8467D01* -X32297Y-8411D01* -X32297Y-8355D01* -X32316Y-8299D01* -X32334Y-8270D01* -X32372Y-8242D01* -X32447Y-8214D01* -X32484Y-8186D01* -X32503Y-8158D01* -X32522Y-8102D01* -X32522Y-8045D01* -X32503Y-7989D01* -X32484Y-7961D01* -X32447Y-7933D01* -X32353Y-7933D01* -X32297Y-7961D01* -X32128Y-8524D02* -X32128Y-7933D01* -X31959Y-8524D02* -X31959Y-8214D01* -X31978Y-8158D01* -X32016Y-8130D01* -X32072Y-8130D01* -X32109Y-8158D01* -X32128Y-8186D01* -X31716Y-8524D02* -X31753Y-8496D01* -X31772Y-8467D01* -X31791Y-8411D01* -X31791Y-8242D01* -X31772Y-8186D01* -X31753Y-8158D01* -X31716Y-8130D01* -X31660Y-8130D01* -X31622Y-8158D01* -X31603Y-8186D01* -X31585Y-8242D01* -X31585Y-8411D01* -X31603Y-8467D01* -X31622Y-8496D01* -X31660Y-8524D01* -X31716Y-8524D01* -X31416Y-8524D02* -X31416Y-8130D01* -X31416Y-8242D02* -X31397Y-8186D01* -X31379Y-8158D01* -X31341Y-8130D01* -X31304Y-8130D01* -X31228Y-8130D02* -X31078Y-8130D01* -X31172Y-7933D02* -X31172Y-8439D01* -X31153Y-8496D01* -X31116Y-8524D01* -X31078Y-8524D01* -X30366Y-8496D02* -X30310Y-8524D01* -X30216Y-8524D01* -X30178Y-8496D01* -X30160Y-8467D01* -X30141Y-8411D01* -X30141Y-8355D01* -X30160Y-8299D01* -X30178Y-8270D01* -X30216Y-8242D01* -X30291Y-8214D01* -X30328Y-8186D01* -X30347Y-8158D01* -X30366Y-8102D01* -X30366Y-8045D01* -X30347Y-7989D01* -X30328Y-7961D01* -X30291Y-7933D01* -X30197Y-7933D01* -X30141Y-7961D01* -X29972Y-8524D02* -X29972Y-7933D01* -X29803Y-8524D02* -X29803Y-8214D01* -X29822Y-8158D01* -X29860Y-8130D01* -X29916Y-8130D01* -X29953Y-8158D01* -X29972Y-8186D01* -X29560Y-8524D02* -X29597Y-8496D01* -X29616Y-8467D01* -X29635Y-8411D01* -X29635Y-8242D01* -X29616Y-8186D01* -X29597Y-8158D01* -X29560Y-8130D01* -X29504Y-8130D01* -X29466Y-8158D01* -X29447Y-8186D01* -X29429Y-8242D01* -X29429Y-8411D01* -X29447Y-8467D01* -X29466Y-8496D01* -X29504Y-8524D01* -X29560Y-8524D01* -X29260Y-8524D02* -X29260Y-8130D01* -X29260Y-8242D02* -X29241Y-8186D01* -X29223Y-8158D01* -X29185Y-8130D01* -X29148Y-8130D01* -X29072Y-8130D02* -X28922Y-8130D01* -X29016Y-7933D02* -X29016Y-8439D01* -X28997Y-8496D01* -X28960Y-8524D01* -X28922Y-8524D01* -X36704Y-9422D02* -X36648Y-9450D01* -X36554Y-9450D01* -X36516Y-9422D01* -X36498Y-9393D01* -X36479Y-9337D01* -X36479Y-9281D01* -X36498Y-9225D01* -X36516Y-9196D01* -X36554Y-9168D01* -X36629Y-9140D01* -X36666Y-9112D01* -X36685Y-9084D01* -X36704Y-9028D01* -X36704Y-8971D01* -X36685Y-8915D01* -X36666Y-8887D01* -X36629Y-8859D01* -X36535Y-8859D01* -X36479Y-8887D01* -X36160Y-9422D02* -X36198Y-9450D01* -X36273Y-9450D01* -X36310Y-9422D01* -X36329Y-9365D01* -X36329Y-9140D01* -X36310Y-9084D01* -X36273Y-9056D01* -X36198Y-9056D01* -X36160Y-9084D01* -X36141Y-9140D01* -X36141Y-9196D01* -X36329Y-9253D01* -X35916Y-9450D02* -X35953Y-9422D01* -X35972Y-9365D01* -X35972Y-8859D01* -X35822Y-9056D02* -X35672Y-9056D01* -X35766Y-9450D02* -X35766Y-8943D01* -X35747Y-8887D01* -X35710Y-8859D01* -X35672Y-8859D01* -X35541Y-9056D02* -X35541Y-9647D01* -X35541Y-9084D02* -X35504Y-9056D01* -X35429Y-9056D01* -X35391Y-9084D01* -X35372Y-9112D01* -X35354Y-9168D01* -X35354Y-9337D01* -X35372Y-9393D01* -X35391Y-9422D01* -X35429Y-9450D01* -X35504Y-9450D01* -X35541Y-9422D01* -X35129Y-9450D02* -X35166Y-9422D01* -X35185Y-9393D01* -X35204Y-9337D01* -X35204Y-9168D01* -X35185Y-9112D01* -X35166Y-9084D01* -X35129Y-9056D01* -X35073Y-9056D01* -X35035Y-9084D01* -X35016Y-9112D01* -X34998Y-9168D01* -X34998Y-9337D01* -X35016Y-9393D01* -X35035Y-9422D01* -X35073Y-9450D01* -X35129Y-9450D01* -X34867Y-9056D02* -X34792Y-9450D01* -X34717Y-9168D01* -X34642Y-9450D01* -X34567Y-9056D01* -X34266Y-9422D02* -X34304Y-9450D01* -X34379Y-9450D01* -X34416Y-9422D01* -X34435Y-9365D01* -X34435Y-9140D01* -X34416Y-9084D01* -X34379Y-9056D01* -X34304Y-9056D01* -X34266Y-9084D01* -X34247Y-9140D01* -X34247Y-9196D01* -X34435Y-9253D01* -X34078Y-9450D02* -X34078Y-9056D01* -X34078Y-9168D02* -X34059Y-9112D01* -X34041Y-9084D01* -X34003Y-9056D01* -X33966Y-9056D01* -X33684Y-9422D02* -X33722Y-9450D01* -X33797Y-9450D01* -X33834Y-9422D01* -X33853Y-9365D01* -X33853Y-9140D01* -X33834Y-9084D01* -X33797Y-9056D01* -X33722Y-9056D01* -X33684Y-9084D01* -X33665Y-9140D01* -X33665Y-9196D01* -X33853Y-9253D01* -X33327Y-9450D02* -X33327Y-8859D01* -X33327Y-9422D02* -X33365Y-9450D01* -X33440Y-9450D01* -X33477Y-9422D01* -X33496Y-9393D01* -X33515Y-9337D01* -X33515Y-9168D01* -X33496Y-9112D01* -X33477Y-9084D01* -X33440Y-9056D01* -X33365Y-9056D01* -X33327Y-9084D01* -X32465Y-8859D02* -X32390Y-8859D01* -X32353Y-8887D01* -X32315Y-8943D01* -X32296Y-9056D01* -X32296Y-9253D01* -X32315Y-9365D01* -X32353Y-9422D01* -X32390Y-9450D01* -X32465Y-9450D01* -X32503Y-9422D01* -X32540Y-9365D01* -X32559Y-9253D01* -X32559Y-9056D01* -X32540Y-8943D01* -X32503Y-8887D01* -X32465Y-8859D01* -X32127Y-9056D02* -X32127Y-9647D01* -X32127Y-9084D02* -X32090Y-9056D01* -X32015Y-9056D01* -X31977Y-9084D01* -X31958Y-9112D01* -X31940Y-9168D01* -X31940Y-9337D01* -X31958Y-9393D01* -X31977Y-9422D01* -X32015Y-9450D01* -X32090Y-9450D01* -X32127Y-9422D01* -X31621Y-9422D02* -X31659Y-9450D01* -X31734Y-9450D01* -X31771Y-9422D01* -X31790Y-9365D01* -X31790Y-9140D01* -X31771Y-9084D01* -X31734Y-9056D01* -X31659Y-9056D01* -X31621Y-9084D01* -X31602Y-9140D01* -X31602Y-9196D01* -X31790Y-9253D01* -X31433Y-9056D02* -X31433Y-9450D01* -X31433Y-9112D02* -X31414Y-9084D01* -X31377Y-9056D01* -X31321Y-9056D01* -X31283Y-9084D01* -X31264Y-9140D01* -X31264Y-9450D01* -X30102Y-8859D02* -X30027Y-8859D01* -X29990Y-8887D01* -X29952Y-8943D01* -X29933Y-9056D01* -X29933Y-9253D01* -X29952Y-9365D01* -X29990Y-9422D01* -X30027Y-9450D01* -X30102Y-9450D01* -X30140Y-9422D01* -X30177Y-9365D01* -X30196Y-9253D01* -X30196Y-9056D01* -X30177Y-8943D01* -X30140Y-8887D01* -X30102Y-8859D01* -X29764Y-9056D02* -X29764Y-9647D01* -X29764Y-9084D02* -X29727Y-9056D01* -X29652Y-9056D01* -X29614Y-9084D01* -X29595Y-9112D01* -X29577Y-9168D01* -X29577Y-9337D01* -X29595Y-9393D01* -X29614Y-9422D01* -X29652Y-9450D01* -X29727Y-9450D01* -X29764Y-9422D01* -X29258Y-9422D02* -X29296Y-9450D01* -X29371Y-9450D01* -X29408Y-9422D01* -X29427Y-9365D01* -X29427Y-9140D01* -X29408Y-9084D01* -X29371Y-9056D01* -X29296Y-9056D01* -X29258Y-9084D01* -X29239Y-9140D01* -X29239Y-9196D01* -X29427Y-9253D01* -X29070Y-9056D02* -X29070Y-9450D01* -X29070Y-9112D02* -X29051Y-9084D01* -X29014Y-9056D01* -X28958Y-9056D01* -X28920Y-9084D01* -X28901Y-9140D01* -X28901Y-9450D01* -X32724Y-14171D02* -X33202Y-14171D01* -X33258Y-14152D01* -X33287Y-14133D01* -X33315Y-14096D01* -X33315Y-14039D01* -X33287Y-14002D01* -X33090Y-14171D02* -X33118Y-14133D01* -X33118Y-14058D01* -X33090Y-14021D01* -X33061Y-14002D01* -X33005Y-13983D01* -X32836Y-13983D01* -X32780Y-14002D01* -X32752Y-14021D01* -X32724Y-14058D01* -X32724Y-14133D01* -X32752Y-14171D01* -X33118Y-14358D02* -X32724Y-14358D01* -X32527Y-14358D02* -X32555Y-14339D01* -X32583Y-14358D01* -X32555Y-14377D01* -X32527Y-14358D01* -X32583Y-14358D01* -X32724Y-14490D02* -X32724Y-14640D01* -X32527Y-14546D02* -X33033Y-14546D01* -X33090Y-14565D01* -X33118Y-14602D01* -X33118Y-14640D01* -X33118Y-14771D02* -X32527Y-14771D01* -X33118Y-14940D02* -X32808Y-14940D01* -X32752Y-14921D01* -X32724Y-14883D01* -X32724Y-14827D01* -X32752Y-14790D01* -X32780Y-14771D01* -X32724Y-15296D02* -X33118Y-15296D01* -X32724Y-15127D02* -X33033Y-15127D01* -X33090Y-15146D01* -X33118Y-15183D01* -X33118Y-15239D01* -X33090Y-15277D01* -X33061Y-15296D01* -X33118Y-15483D02* -X32527Y-15483D01* -X32752Y-15483D02* -X32724Y-15520D01* -X32724Y-15595D01* -X32752Y-15633D01* -X32780Y-15652D01* -X32836Y-15670D01* -X33005Y-15670D01* -X33061Y-15652D01* -X33090Y-15633D01* -X33118Y-15595D01* -X33118Y-15520D01* -X33090Y-15483D01* -X33061Y-15839D02* -X33090Y-15858D01* -X33118Y-15839D01* -X33090Y-15820D01* -X33061Y-15839D01* -X33118Y-15839D01* -X33090Y-16196D02* -X33118Y-16158D01* -X33118Y-16083D01* -X33090Y-16046D01* -X33061Y-16027D01* -X33005Y-16008D01* -X32836Y-16008D01* -X32780Y-16027D01* -X32752Y-16046D01* -X32724Y-16083D01* -X32724Y-16158D01* -X32752Y-16196D01* -X33118Y-16421D02* -X33090Y-16384D01* -X33061Y-16365D01* -X33005Y-16346D01* -X32836Y-16346D01* -X32780Y-16365D01* -X32752Y-16384D01* -X32724Y-16421D01* -X32724Y-16477D01* -X32752Y-16515D01* -X32780Y-16534D01* -X32836Y-16552D01* -X33005Y-16552D01* -X33061Y-16534D01* -X33090Y-16515D01* -X33118Y-16477D01* -X33118Y-16421D01* -X33118Y-16721D02* -X32724Y-16721D01* -X32780Y-16721D02* -X32752Y-16740D01* -X32724Y-16777D01* -X32724Y-16833D01* -X32752Y-16871D01* -X32808Y-16890D01* -X33118Y-16890D01* -X32808Y-16890D02* -X32752Y-16908D01* -X32724Y-16946D01* -X32724Y-17002D01* -X32752Y-17040D01* -X32808Y-17059D01* -X33118Y-17059D01* -X32499Y-17527D02* -X33258Y-17190D01* -X33118Y-17846D02* -X33118Y-17659D01* -X32527Y-17659D01* -X33118Y-18034D02* -X33090Y-17997D01* -X33061Y-17978D01* -X33005Y-17959D01* -X32836Y-17959D01* -X32780Y-17978D01* -X32752Y-17997D01* -X32724Y-18034D01* -X32724Y-18090D01* -X32752Y-18128D01* -X32780Y-18147D01* -X32836Y-18165D01* -X33005Y-18165D01* -X33061Y-18147D01* -X33090Y-18128D01* -X33118Y-18090D01* -X33118Y-18034D01* -X32724Y-18334D02* -X33118Y-18334D01* -X32780Y-18334D02* -X32752Y-18353D01* -X32724Y-18390D01* -X32724Y-18446D01* -X32752Y-18484D01* -X32808Y-18503D01* -X33118Y-18503D01* -X32724Y-18859D02* -X33202Y-18859D01* -X33258Y-18840D01* -X33287Y-18821D01* -X33315Y-18784D01* -X33315Y-18727D01* -X33287Y-18690D01* -X33090Y-18859D02* -X33118Y-18821D01* -X33118Y-18746D01* -X33090Y-18709D01* -X33061Y-18690D01* -X33005Y-18671D01* -X32836Y-18671D01* -X32780Y-18690D01* -X32752Y-18709D01* -X32724Y-18746D01* -X32724Y-18821D01* -X32752Y-18859D01* -X33118Y-19046D02* -X32527Y-19046D01* -X32808Y-19046D02* -X32808Y-19271D01* -X33118Y-19271D02* -X32527Y-19271D01* -X33118Y-19628D02* -X32808Y-19628D01* -X32752Y-19609D01* -X32724Y-19571D01* -X32724Y-19496D01* -X32752Y-19459D01* -X33090Y-19628D02* -X33118Y-19590D01* -X33118Y-19496D01* -X33090Y-19459D01* -X33033Y-19440D01* -X32977Y-19440D01* -X32921Y-19459D01* -X32893Y-19496D01* -X32893Y-19590D01* -X32864Y-19628D01* -X33118Y-19815D02* -X32724Y-19815D01* -X32527Y-19815D02* -X32555Y-19796D01* -X32583Y-19815D01* -X32555Y-19834D01* -X32527Y-19815D01* -X32583Y-19815D01* -X33118Y-20003D02* -X32724Y-20003D01* -X32836Y-20003D02* -X32780Y-20022D01* -X32752Y-20040D01* -X32724Y-20078D01* -X32724Y-20115D01* -X33090Y-20397D02* -X33118Y-20359D01* -X33118Y-20284D01* -X33090Y-20247D01* -X33033Y-20228D01* -X32808Y-20228D01* -X32752Y-20247D01* -X32724Y-20284D01* -X32724Y-20359D01* -X32752Y-20397D01* -X32808Y-20416D01* -X32864Y-20416D01* -X32921Y-20228D01* -X33118Y-20754D02* -X32527Y-20754D01* -X33090Y-20754D02* -X33118Y-20716D01* -X33118Y-20641D01* -X33090Y-20604D01* -X33061Y-20585D01* -X33005Y-20566D01* -X32836Y-20566D01* -X32780Y-20585D01* -X32752Y-20604D01* -X32724Y-20641D01* -X32724Y-20716D01* -X32752Y-20754D01* -X33118Y-20941D02* -X32527Y-20941D01* -X32808Y-20941D02* -X32808Y-21166D01* -X33118Y-21166D02* -X32527Y-21166D01* -X33118Y-21523D02* -X32808Y-21523D01* -X32752Y-21504D01* -X32724Y-21466D01* -X32724Y-21391D01* -X32752Y-21354D01* -X33090Y-21523D02* -X33118Y-21485D01* -X33118Y-21391D01* -X33090Y-21354D01* -X33033Y-21335D01* -X32977Y-21335D01* -X32921Y-21354D01* -X32893Y-21391D01* -X32893Y-21485D01* -X32864Y-21523D01* -X33090Y-21879D02* -X33118Y-21841D01* -X33118Y-21766D01* -X33090Y-21729D01* -X33061Y-21710D01* -X33005Y-21691D01* -X32836Y-21691D01* -X32780Y-21710D01* -X32752Y-21729D01* -X32724Y-21766D01* -X32724Y-21841D01* -X32752Y-21879D01* -X33118Y-22048D02* -X32527Y-22048D01* -X32893Y-22085D02* -X33118Y-22198D01* -X32724Y-22198D02* -X32949Y-22048D01* -X33090Y-22517D02* -X33118Y-22479D01* -X33118Y-22404D01* -X33090Y-22367D01* -X33033Y-22348D01* -X32808Y-22348D01* -X32752Y-22367D01* -X32724Y-22404D01* -X32724Y-22479D01* -X32752Y-22517D01* -X32808Y-22536D01* -X32864Y-22536D01* -X32921Y-22348D01* -X33118Y-22705D02* -X32724Y-22705D01* -X32836Y-22705D02* -X32780Y-22724D01* -X32752Y-22742D01* -X32724Y-22780D01* -X32724Y-22817D01* -X32499Y-23230D02* -X33258Y-22893D01* -X33118Y-23362D02* -X32527Y-23362D01* -X32893Y-23399D02* -X33118Y-23512D01* -X32724Y-23512D02* -X32949Y-23362D01* -X33118Y-23850D02* -X32808Y-23850D01* -X32752Y-23831D01* -X32724Y-23793D01* -X32724Y-23718D01* -X32752Y-23681D01* -X33090Y-23850D02* -X33118Y-23812D01* -X33118Y-23718D01* -X33090Y-23681D01* -X33033Y-23662D01* -X32977Y-23662D01* -X32921Y-23681D01* -X32893Y-23718D01* -X32893Y-23812D01* -X32864Y-23850D01* -X33118Y-24037D02* -X32527Y-24037D01* -X32752Y-24037D02* -X32724Y-24074D01* -X32724Y-24149D01* -X32752Y-24187D01* -X32780Y-24206D01* -X32836Y-24224D01* -X33005Y-24224D01* -X33061Y-24206D01* -X33090Y-24187D01* -X33118Y-24149D01* -X33118Y-24074D01* -X33090Y-24037D01* -X33118Y-24449D02* -X33090Y-24412D01* -X33061Y-24393D01* -X33005Y-24374D01* -X32836Y-24374D01* -X32780Y-24393D01* -X32752Y-24412D01* -X32724Y-24449D01* -X32724Y-24505D01* -X32752Y-24543D01* -X32780Y-24562D01* -X32836Y-24580D01* -X33005Y-24580D01* -X33061Y-24562D01* -X33090Y-24543D01* -X33118Y-24505D01* -X33118Y-24449D01* -X33118Y-24918D02* -X32808Y-24918D01* -X32752Y-24899D01* -X32724Y-24861D01* -X32724Y-24786D01* -X32752Y-24749D01* -X33090Y-24918D02* -X33118Y-24880D01* -X33118Y-24786D01* -X33090Y-24749D01* -X33033Y-24730D01* -X32977Y-24730D01* -X32921Y-24749D01* -X32893Y-24786D01* -X32893Y-24880D01* -X32864Y-24918D01* -X33118Y-25105D02* -X32724Y-25105D01* -X32836Y-25105D02* -X32780Y-25124D01* -X32752Y-25142D01* -X32724Y-25180D01* -X32724Y-25217D01* -X33118Y-25518D02* -X32527Y-25518D01* -X33090Y-25518D02* -X33118Y-25480D01* -X33118Y-25405D01* -X33090Y-25368D01* -X33061Y-25349D01* -X33005Y-25330D01* -X32836Y-25330D01* -X32780Y-25349D01* -X32752Y-25368D01* -X32724Y-25405D01* -X32724Y-25480D01* -X32752Y-25518D01* -G54D13* -G36* -X16541Y-5741D02* -X16582Y-5741D01* -X16582Y-4452D01* -X16582Y-4391D01* -X16582Y-4333D01* -X16582Y-4279D01* -X16582Y-4228D01* -X16582Y-4181D01* -X16582Y-4138D01* -X16582Y-4097D01* -X16582Y-4060D01* -X16582Y-4025D01* -X16583Y-3994D01* -X16583Y-3965D01* -X16583Y-3938D01* -X16583Y-3915D01* -X16583Y-3893D01* -X16583Y-3874D01* -X16583Y-3856D01* -X16583Y-3841D01* -X16584Y-3828D01* -X16584Y-3816D01* -X16584Y-3806D01* -X16584Y-3797D01* -X16585Y-3789D01* -X16585Y-3783D01* -X16586Y-3778D01* -X16586Y-3774D01* -X16587Y-3771D01* -X16587Y-3768D01* -X16588Y-3766D01* -X16589Y-3765D01* -X16589Y-3764D01* -X16590Y-3763D01* -X16591Y-3762D01* -X16592Y-3761D01* -X16593Y-3760D01* -X16593Y-3760D01* -X16597Y-3753D01* -X16600Y-3747D01* -X16600Y-3746D01* -X16603Y-3740D01* -X16606Y-3737D01* -X16612Y-3737D01* -X16614Y-3737D01* -X16618Y-3738D01* -X16621Y-3736D01* -X16621Y-3735D01* -X16626Y-3727D01* -X16633Y-3722D01* -X16642Y-3718D01* -X16644Y-3718D01* -X16649Y-3718D01* -X16658Y-3718D01* -X16669Y-3718D01* -X16683Y-3718D01* -X16701Y-3718D01* -X16721Y-3718D01* -X16745Y-3718D01* -X16772Y-3718D01* -X16803Y-3718D01* -X16836Y-3718D01* -X16873Y-3717D01* -X16913Y-3717D01* -X16957Y-3717D01* -X17004Y-3717D01* -X17054Y-3717D01* -X17107Y-3717D01* -X17164Y-3717D01* -X17225Y-3717D01* -X17288Y-3717D01* -X17356Y-3717D01* -X17427Y-3717D01* -X17501Y-3717D01* -X17579Y-3717D01* -X17660Y-3717D01* -X17745Y-3717D01* -X17834Y-3717D01* -X17926Y-3717D01* -X18022Y-3717D01* -X18121Y-3717D01* -X18225Y-3717D01* -X18332Y-3717D01* -X18442Y-3717D01* -X18557Y-3717D01* -X18675Y-3717D01* -X18797Y-3717D01* -X18923Y-3717D01* -X19053Y-3717D01* -X19186Y-3717D01* -X19323Y-3717D01* -X19465Y-3717D01* -X19498Y-3717D01* -X19627Y-3717D01* -X19752Y-3717D01* -X19873Y-3717D01* -X19991Y-3717D01* -X20104Y-3717D01* -X20214Y-3717D01* -X20320Y-3717D01* -X20423Y-3717D01* -X20523Y-3717D01* -X20619Y-3717D01* -X20711Y-3717D01* -X20801Y-3717D01* -X20887Y-3717D01* -X20970Y-3717D01* -X21049Y-3717D01* -X21126Y-3717D01* -X21200Y-3717D01* -X21271Y-3717D01* -X21338Y-3717D01* -X21403Y-3717D01* -X21466Y-3717D01* -X21525Y-3718D01* -X21582Y-3718D01* -X21636Y-3718D01* -X21688Y-3718D01* -X21737Y-3718D01* -X21784Y-3718D01* -X21829Y-3718D01* -X21871Y-3718D01* -X21911Y-3718D01* -X21949Y-3718D01* -X21984Y-3718D01* -X22018Y-3718D01* -X22049Y-3718D01* -X22079Y-3718D01* -X22106Y-3718D01* -X22132Y-3718D01* -X22156Y-3718D01* -X22179Y-3718D01* -X22199Y-3719D01* -X22218Y-3719D01* -X22236Y-3719D01* -X22252Y-3719D01* -X22266Y-3719D01* -X22279Y-3719D01* -X22291Y-3719D01* -X22302Y-3719D01* -X22311Y-3719D01* -X22319Y-3719D01* -X22326Y-3719D01* -X22333Y-3720D01* -X22338Y-3720D01* -X22342Y-3720D01* -X22345Y-3720D01* -X22348Y-3720D01* -X22350Y-3720D01* -X22351Y-3720D01* -X22351Y-3720D01* -X22356Y-3724D01* -X22361Y-3729D01* -X22362Y-3730D01* -X22365Y-3735D01* -X22369Y-3737D01* -X22375Y-3737D01* -X22380Y-3737D01* -X22380Y-3677D01* -X22411Y-3677D01* -X22443Y-3677D01* -X22443Y-3709D01* -X22443Y-3740D01* -X22437Y-3740D01* -X22431Y-3738D01* -X22428Y-3734D01* -X22427Y-3733D01* -X22424Y-3728D01* -X22420Y-3722D01* -X22414Y-3716D01* -X22409Y-3711D01* -X22402Y-3703D01* -X22396Y-3698D01* -X22390Y-3695D01* -X22388Y-3693D01* -X22383Y-3690D01* -X22381Y-3685D01* -X22380Y-3683D01* -X22380Y-3677D01* -X22380Y-3737D01* -X22383Y-3737D01* -X22383Y-3745D01* -X22383Y-3751D01* -X22385Y-3755D01* -X22390Y-3758D01* -X22395Y-3763D01* -X22399Y-3768D01* -X22400Y-3769D01* -X22400Y-3770D01* -X22400Y-3771D01* -X22400Y-3773D01* -X22401Y-3777D01* -X22401Y-3781D01* -X22401Y-3787D01* -X22401Y-3793D01* -X22401Y-3802D01* -X22402Y-3811D01* -X22402Y-3823D01* -X22402Y-3836D01* -X22402Y-3851D01* -X22402Y-3868D01* -X22402Y-3888D01* -X22402Y-3909D01* -X22402Y-3933D01* -X22403Y-3959D01* -X22403Y-3988D01* -X22403Y-4020D01* -X22403Y-4055D01* -X22403Y-4092D01* -X22403Y-4133D01* -X22403Y-4177D01* -X22403Y-4224D01* -X22403Y-4275D01* -X22403Y-4329D01* -X22403Y-4387D01* -X22403Y-4447D01* -X22403Y-4517D01* -X22403Y-4584D01* -X22403Y-4646D01* -X22403Y-4704D01* -X22403Y-4758D01* -X22403Y-4809D01* -X22403Y-4855D01* -X22403Y-4898D01* -X22403Y-4938D01* -X22403Y-4973D01* -X22403Y-5005D01* -X22403Y-5032D01* -X22403Y-5057D01* -X22403Y-5077D01* -X22402Y-5093D01* -X22402Y-5106D01* -X22402Y-5116D01* -X22402Y-5121D01* -X22402Y-5123D01* -X22401Y-5124D01* -X22399Y-5126D01* -X22396Y-5127D01* -X22392Y-5128D01* -X22387Y-5129D01* -X22380Y-5129D01* -X22371Y-5130D01* -X22359Y-5130D01* -X22345Y-5130D01* -X22327Y-5131D01* -X22306Y-5131D01* -X22285Y-5131D01* -X22186Y-5131D01* -X22180Y-5139D01* -X22176Y-5145D01* -X22173Y-5150D01* -X22172Y-5153D01* -X22170Y-5157D01* -X22166Y-5162D01* -X22165Y-5163D01* -X22161Y-5169D01* -X22157Y-5176D01* -X22156Y-5177D01* -X22153Y-5182D01* -X22150Y-5186D01* -X22150Y-5187D01* -X22148Y-5189D01* -X22145Y-5194D01* -X22143Y-5198D01* -X22140Y-5203D01* -X22137Y-5208D01* -X22134Y-5213D01* -X22129Y-5219D01* -X22121Y-5228D01* -X22121Y-5229D01* -X22117Y-5234D01* -X22114Y-5239D01* -X22113Y-5240D01* -X22111Y-5244D01* -X22106Y-5248D01* -X22106Y-5248D01* -X22102Y-5252D01* -X22099Y-5255D01* -X22099Y-5255D01* -X22097Y-5259D01* -X22093Y-5264D01* -X22092Y-5266D01* -X22088Y-5270D01* -X22082Y-5277D01* -X22076Y-5284D01* -X22076Y-5284D01* -X22067Y-5294D01* -X22057Y-5306D01* -X22044Y-5319D01* -X22030Y-5332D01* -X22016Y-5346D01* -X22006Y-5355D01* -X21997Y-5363D01* -X21989Y-5371D01* -X21981Y-5378D01* -X21975Y-5384D01* -X21973Y-5385D01* -X21968Y-5390D01* -X21964Y-5393D01* -X21961Y-5394D01* -X21958Y-5396D01* -X21954Y-5399D01* -X21952Y-5400D01* -X21948Y-5404D01* -X21944Y-5406D01* -X21943Y-5406D01* -X21941Y-5407D01* -X21937Y-5411D01* -X21931Y-5415D01* -X21922Y-5422D01* -X21915Y-5427D01* -X21910Y-5429D01* -X21905Y-5428D01* -X21904Y-5428D01* -X21899Y-5427D01* -X21895Y-5430D01* -X21894Y-5435D01* -X21893Y-5440D01* -X21891Y-5443D01* -X21888Y-5445D01* -X21883Y-5449D01* -X21877Y-5453D01* -X21870Y-5458D01* -X21863Y-5462D01* -X21858Y-5464D01* -X21855Y-5465D01* -X21853Y-5466D01* -X21848Y-5469D01* -X21844Y-5471D01* -X21838Y-5475D01* -X21832Y-5477D01* -X21829Y-5478D01* -X21824Y-5480D01* -X21818Y-5484D01* -X21818Y-5485D01* -X21812Y-5490D01* -X21806Y-5490D01* -X21800Y-5488D01* -X21797Y-5485D01* -X21794Y-5486D01* -X21792Y-5489D01* -X21791Y-5492D01* -X21785Y-5501D01* -X21776Y-5508D01* -X21769Y-5511D01* -X21762Y-5513D01* -X21754Y-5516D01* -X21753Y-5516D01* -X21742Y-5521D01* -X21733Y-5524D01* -X21724Y-5526D01* -X21717Y-5528D01* -X21711Y-5531D01* -X21710Y-5532D01* -X21705Y-5534D01* -X21698Y-5537D01* -X21691Y-5539D01* -X21690Y-5539D01* -X21681Y-5541D01* -X21673Y-5544D01* -X21668Y-5547D01* -X21668Y-5547D01* -X21661Y-5550D01* -X21653Y-5552D01* -X21643Y-5554D01* -X21633Y-5556D01* -X21621Y-5558D01* -X21609Y-5561D01* -X21606Y-5562D01* -X21596Y-5564D01* -X21586Y-5567D01* -X21577Y-5568D01* -X21574Y-5569D01* -X21565Y-5570D01* -X21554Y-5572D01* -X21545Y-5574D01* -X21544Y-5574D01* -X21532Y-5576D01* -X21517Y-5578D01* -X21500Y-5580D01* -X21483Y-5581D01* -X21472Y-5582D01* -X21463Y-5582D01* -X21452Y-5583D01* -X21438Y-5583D01* -X21423Y-5582D01* -X21406Y-5582D01* -X21389Y-5582D01* -X21373Y-5581D01* -X21358Y-5581D01* -X21345Y-5581D01* -X21334Y-5580D01* -X21326Y-5579D01* -X21326Y-5579D01* -X21315Y-5578D01* -X21304Y-5576D01* -X21293Y-5574D01* -X21291Y-5574D01* -X21281Y-5572D01* -X21269Y-5570D01* -X21258Y-5568D01* -X21257Y-5568D01* -X21247Y-5566D01* -X21238Y-5565D01* -X21231Y-5562D01* -X21229Y-5562D01* -X21223Y-5560D01* -X21215Y-5558D01* -X21205Y-5556D01* -X21198Y-5555D01* -X21184Y-5552D01* -X21174Y-5549D01* -X21169Y-5547D01* -X21162Y-5544D01* -X21155Y-5541D01* -X21145Y-5539D01* -X21137Y-5537D01* -X21131Y-5535D01* -X21127Y-5532D01* -X21123Y-5530D01* -X21116Y-5528D01* -X21108Y-5526D01* -X21107Y-5525D01* -X21099Y-5523D01* -X21092Y-5521D01* -X21087Y-5518D01* -X21086Y-5518D01* -X21081Y-5515D01* -X21074Y-5513D01* -X21070Y-5511D01* -X21062Y-5509D01* -X21056Y-5506D01* -X21053Y-5504D01* -X21048Y-5500D01* -X21042Y-5497D01* -X21040Y-5497D01* -X21033Y-5494D01* -X21027Y-5491D01* -X21026Y-5490D01* -X21020Y-5486D01* -X21013Y-5483D01* -X21011Y-5482D01* -X21004Y-5479D01* -X20998Y-5476D01* -X20997Y-5474D01* -X20991Y-5471D01* -X20985Y-5468D01* -X20983Y-5467D01* -X20976Y-5464D01* -X20968Y-5460D01* -X20966Y-5458D01* -X20962Y-5454D01* -X20958Y-5452D01* -X20957Y-5452D01* -X20954Y-5450D01* -X20950Y-5447D01* -X20949Y-5446D01* -X20943Y-5442D01* -X20937Y-5438D01* -X20936Y-5438D01* -X20930Y-5435D01* -X20925Y-5430D01* -X20920Y-5426D01* -X20914Y-5422D01* -X20914Y-5422D01* -X20908Y-5419D01* -X20904Y-5416D01* -X20904Y-5415D01* -X20901Y-5413D01* -X20895Y-5409D01* -X20893Y-5408D01* -X20888Y-5406D01* -X20885Y-5403D01* -X20884Y-5402D01* -X20883Y-5400D01* -X20879Y-5397D01* -X20875Y-5395D01* -X20871Y-5391D01* -X20864Y-5386D01* -X20855Y-5378D01* -X20845Y-5369D01* -X20835Y-5360D01* -X20825Y-5350D01* -X20815Y-5341D01* -X20810Y-5336D01* -X20804Y-5330D01* -X20798Y-5325D01* -X20794Y-5322D01* -X20793Y-5322D01* -X20789Y-5319D01* -X20784Y-5314D01* -X20783Y-5312D01* -X20780Y-5308D01* -X20775Y-5302D01* -X20768Y-5294D01* -X20761Y-5286D01* -X20759Y-5283D01* -X20752Y-5275D01* -X20744Y-5266D01* -X20738Y-5259D01* -X20734Y-5254D01* -X20733Y-5253D01* -X20727Y-5247D01* -X20721Y-5239D01* -X20717Y-5235D01* -X20713Y-5229D01* -X20710Y-5225D01* -X20709Y-5222D01* -X20708Y-5219D01* -X20705Y-5216D01* -X20701Y-5212D01* -X20698Y-5206D01* -X20694Y-5201D01* -X20690Y-5195D01* -X20688Y-5193D01* -X20684Y-5188D01* -X20682Y-5184D01* -X20681Y-5182D01* -X20680Y-5178D01* -X20677Y-5173D01* -X20674Y-5170D01* -X20671Y-5165D01* -X20668Y-5161D01* -X20668Y-5159D01* -X20666Y-5156D01* -X20663Y-5151D01* -X20662Y-5150D01* -X20657Y-5144D01* -X20653Y-5138D01* -X20653Y-5137D01* -X20650Y-5131D01* -X18626Y-5131D01* -X18505Y-5131D01* -X18388Y-5131D01* -X18275Y-5131D01* -X18166Y-5131D01* -X18061Y-5131D01* -X17959Y-5131D01* -X17861Y-5131D01* -X17768Y-5131D01* -X17677Y-5131D01* -X17591Y-5130D01* -X17509Y-5130D01* -X17430Y-5130D01* -X17354Y-5130D01* -X17283Y-5130D01* -X17215Y-5130D01* -X17151Y-5130D01* -X17090Y-5130D01* -X17033Y-5130D01* -X16980Y-5130D01* -X16930Y-5130D01* -X16883Y-5130D01* -X16841Y-5130D01* -X16801Y-5130D01* -X16765Y-5130D01* -X16733Y-5130D01* -X16704Y-5129D01* -X16679Y-5129D01* -X16656Y-5129D01* -X16638Y-5129D01* -X16622Y-5129D01* -X16610Y-5129D01* -X16601Y-5129D01* -X16596Y-5129D01* -X16594Y-5129D01* -X16588Y-5126D01* -X16585Y-5123D01* -X16584Y-5123D01* -X16584Y-5120D01* -X16584Y-5114D01* -X16584Y-5104D01* -X16584Y-5090D01* -X16583Y-5072D01* -X16583Y-5051D01* -X16583Y-5025D01* -X16583Y-4996D01* -X16583Y-4962D01* -X16583Y-4925D01* -X16583Y-4884D01* -X16583Y-4839D01* -X16583Y-4790D01* -X16582Y-4737D01* -X16582Y-4681D01* -X16582Y-4620D01* -X16582Y-4556D01* -X16582Y-4487D01* -X16582Y-4452D01* -X16582Y-5741D01* -X17669Y-5741D01* -X17669Y-5607D01* -X17670Y-5600D01* -X17673Y-5594D01* -X17674Y-5593D01* -X17677Y-5589D01* -X17679Y-5582D01* -X17680Y-5578D01* -X17683Y-5565D01* -X17687Y-5558D01* -X17690Y-5551D01* -X17693Y-5544D01* -X17694Y-5541D01* -X17695Y-5533D01* -X17696Y-5527D01* -X17698Y-5523D01* -X17701Y-5519D01* -X17701Y-5519D01* -X17705Y-5512D01* -X17709Y-5501D01* -X17709Y-5499D01* -X17712Y-5488D01* -X17716Y-5480D01* -X17717Y-5479D01* -X17721Y-5471D01* -X17723Y-5464D01* -X17724Y-5455D01* -X17725Y-5450D01* -X17727Y-5445D01* -X17729Y-5442D01* -X17731Y-5440D01* -X17734Y-5435D01* -X17737Y-5427D01* -X17739Y-5418D01* -X17740Y-5410D01* -X17740Y-5410D01* -X17741Y-5408D01* -X17744Y-5404D01* -X17745Y-5402D01* -X17750Y-5394D01* -X17752Y-5385D01* -X17753Y-5383D01* -X17754Y-5374D01* -X17756Y-5368D01* -X17760Y-5362D01* -X17763Y-5358D01* -X17767Y-5351D01* -X17767Y-5345D01* -X17769Y-5334D01* -X17774Y-5324D01* -X17776Y-5321D01* -X17780Y-5314D01* -X17782Y-5304D01* -X17783Y-5297D01* -X17785Y-5291D01* -X17788Y-5287D01* -X17792Y-5284D01* -X17798Y-5282D01* -X17807Y-5281D01* -X17818Y-5280D01* -X17833Y-5280D01* -X17838Y-5280D01* -X17850Y-5280D01* -X17859Y-5280D01* -X17866Y-5281D01* -X17870Y-5281D01* -X17873Y-5282D01* -X17875Y-5283D01* -X17876Y-5284D01* -X17879Y-5288D01* -X17880Y-5292D01* -X17881Y-5296D01* -X17884Y-5301D01* -X17885Y-5302D01* -X17889Y-5308D01* -X17891Y-5314D01* -X17893Y-5323D01* -X17894Y-5324D01* -X17896Y-5333D01* -X17898Y-5339D01* -X17901Y-5344D01* -X17905Y-5349D01* -X17907Y-5356D01* -X17908Y-5361D01* -X17910Y-5371D01* -X17914Y-5379D01* -X17915Y-5380D01* -X17920Y-5389D01* -X17922Y-5397D01* -X17923Y-5405D01* -X17924Y-5411D01* -X17926Y-5415D01* -X17930Y-5420D01* -X17930Y-5421D01* -X17935Y-5427D01* -X17937Y-5435D01* -X17938Y-5440D01* -X17940Y-5450D01* -X17943Y-5457D01* -X17944Y-5457D01* -X17950Y-5467D01* -X17952Y-5478D01* -X17952Y-5480D01* -X17954Y-5486D01* -X17957Y-5493D01* -X17959Y-5496D01* -X17965Y-5507D01* -X17967Y-5518D01* -X17969Y-5526D01* -X17971Y-5532D01* -X17973Y-5535D01* -X17977Y-5540D01* -X17980Y-5547D01* -X17981Y-5554D01* -X17983Y-5562D01* -X17985Y-5569D01* -X17988Y-5573D01* -X17988Y-5574D01* -X17993Y-5581D01* -X17995Y-5588D01* -X17995Y-5517D01* -X17995Y-5513D01* -X17997Y-5508D01* -X17998Y-5506D01* -X18000Y-5499D01* -X18001Y-5491D01* -X18002Y-5489D01* -X18002Y-5481D01* -X18003Y-5475D01* -X18006Y-5469D01* -X18011Y-5462D01* -X18017Y-5455D01* -X18023Y-5449D01* -X18026Y-5446D01* -X18030Y-5442D01* -X18034Y-5438D01* -X18040Y-5433D01* -X18047Y-5429D01* -X18051Y-5429D01* -X18060Y-5426D01* -X18065Y-5422D01* -X18068Y-5419D01* -X18072Y-5417D01* -X18077Y-5415D01* -X18085Y-5414D01* -X18095Y-5413D01* -X18105Y-5412D01* -X18113Y-5409D01* -X18115Y-5407D01* -X18121Y-5404D01* -X18127Y-5402D01* -X18136Y-5400D01* -X18142Y-5399D01* -X18151Y-5398D01* -X18159Y-5396D01* -X18164Y-5394D01* -X18165Y-5394D01* -X18171Y-5390D01* -X18175Y-5387D01* -X18183Y-5381D01* -X18187Y-5376D01* -X18189Y-5372D01* -X18189Y-5371D01* -X18187Y-5366D01* -X18184Y-5360D01* -X18179Y-5354D01* -X18174Y-5349D01* -X18171Y-5348D01* -X18166Y-5347D01* -X18159Y-5345D01* -X18157Y-5344D01* -X18152Y-5343D01* -X18149Y-5342D01* -X18145Y-5342D01* -X18139Y-5343D01* -X18131Y-5345D01* -X18124Y-5346D01* -X18101Y-5352D01* -X18098Y-5365D01* -X18095Y-5373D01* -X18092Y-5379D01* -X18087Y-5385D01* -X18086Y-5385D01* -X18078Y-5393D01* -X18046Y-5392D01* -X18034Y-5392D01* -X18025Y-5391D01* -X18019Y-5391D01* -X18014Y-5390D01* -X18012Y-5389D01* -X18010Y-5388D01* -X18010Y-5388D01* -X18006Y-5382D01* -X18006Y-5375D01* -X18007Y-5366D01* -X18010Y-5360D01* -X18013Y-5353D01* -X18015Y-5345D01* -X18016Y-5341D01* -X18017Y-5334D01* -X18020Y-5328D01* -X18023Y-5325D01* -X18027Y-5320D01* -X18033Y-5314D01* -X18039Y-5307D01* -X18039Y-5307D01* -X18045Y-5302D01* -X18050Y-5297D01* -X18053Y-5295D01* -X18053Y-5295D01* -X18058Y-5293D01* -X18063Y-5289D01* -X18064Y-5288D01* -X18072Y-5283D01* -X18079Y-5282D01* -X18086Y-5280D01* -X18094Y-5277D01* -X18098Y-5275D01* -X18101Y-5273D01* -X18104Y-5271D01* -X18108Y-5270D01* -X18112Y-5270D01* -X18119Y-5270D01* -X18128Y-5269D01* -X18140Y-5269D01* -X18140Y-5269D01* -X18153Y-5269D01* -X18162Y-5270D01* -X18168Y-5270D01* -X18173Y-5271D01* -X18177Y-5272D01* -X18180Y-5273D01* -X18184Y-5275D01* -X18192Y-5278D01* -X18200Y-5281D01* -X18204Y-5282D01* -X18210Y-5284D01* -X18215Y-5286D01* -X18216Y-5287D01* -X18220Y-5290D01* -X18225Y-5293D01* -X18227Y-5294D01* -X18235Y-5300D01* -X18244Y-5308D01* -X18252Y-5317D01* -X18258Y-5326D01* -X18261Y-5333D01* -X18263Y-5341D01* -X18266Y-5347D01* -X18268Y-5350D01* -X18270Y-5353D01* -X18271Y-5358D01* -X18272Y-5364D01* -X18273Y-5373D01* -X18273Y-5375D01* -X18274Y-5394D01* -X18265Y-5411D01* -X18257Y-5425D01* -X18248Y-5437D01* -X18239Y-5446D01* -X18229Y-5452D01* -X18228Y-5453D01* -X18221Y-5456D01* -X18216Y-5459D01* -X18215Y-5461D01* -X18212Y-5464D01* -X18207Y-5466D01* -X18200Y-5467D01* -X18199Y-5467D01* -X18188Y-5469D01* -X18179Y-5474D01* -X18173Y-5477D01* -X18166Y-5479D01* -X18157Y-5481D01* -X18144Y-5482D01* -X18136Y-5483D01* -X18133Y-5484D01* -X18129Y-5487D01* -X18126Y-5488D01* -X18119Y-5492D01* -X18111Y-5495D01* -X18109Y-5495D01* -X18102Y-5497D01* -X18096Y-5500D01* -X18091Y-5505D01* -X18087Y-5509D01* -X18085Y-5513D01* -X18084Y-5517D01* -X18084Y-5522D01* -X18084Y-5526D01* -X18085Y-5536D01* -X18088Y-5542D01* -X18092Y-5545D01* -X18096Y-5546D01* -X18100Y-5547D01* -X18106Y-5549D01* -X18113Y-5551D01* -X18120Y-5554D01* -X18127Y-5555D01* -X18133Y-5555D01* -X18141Y-5554D01* -X18151Y-5552D01* -X18162Y-5549D01* -X18174Y-5545D01* -X18183Y-5540D01* -X18189Y-5534D01* -X18192Y-5527D01* -X18193Y-5521D01* -X18195Y-5513D01* -X18196Y-5508D01* -X18199Y-5504D01* -X18203Y-5502D01* -X18206Y-5500D01* -X18211Y-5498D01* -X18215Y-5496D01* -X18220Y-5495D01* -X18226Y-5495D01* -X18235Y-5495D01* -X18242Y-5495D01* -X18253Y-5495D01* -X18261Y-5495D01* -X18267Y-5496D01* -X18271Y-5497D01* -X18274Y-5498D01* -X18275Y-5499D01* -X18281Y-5504D01* -X18284Y-5509D01* -X18283Y-5516D01* -X18281Y-5520D01* -X18278Y-5528D01* -X18276Y-5538D01* -X18275Y-5544D01* -X18274Y-5552D01* -X18273Y-5557D01* -X18271Y-5561D01* -X18268Y-5565D01* -X18265Y-5568D01* -X18261Y-5572D01* -X18258Y-5576D01* -X18257Y-5577D01* -X18256Y-5580D01* -X18252Y-5582D01* -X18248Y-5585D01* -X18244Y-5590D01* -X18240Y-5595D01* -X18234Y-5598D01* -X18234Y-5598D01* -X18228Y-5602D01* -X18222Y-5606D01* -X18222Y-5606D01* -X18217Y-5609D01* -X18210Y-5612D01* -X18208Y-5613D01* -X18200Y-5615D01* -X18192Y-5618D01* -X18190Y-5619D01* -X18184Y-5622D01* -X18179Y-5624D01* -X18172Y-5625D01* -X18164Y-5626D01* -X18153Y-5626D01* -X18140Y-5626D01* -X18134Y-5626D01* -X18122Y-5626D01* -X18113Y-5625D01* -X18106Y-5625D01* -X18100Y-5624D01* -X18096Y-5623D01* -X18091Y-5621D01* -X18088Y-5620D01* -X18080Y-5617D01* -X18072Y-5615D01* -X18067Y-5613D01* -X18060Y-5611D01* -X18053Y-5607D01* -X18052Y-5605D01* -X18047Y-5602D01* -X18043Y-5599D01* -X18042Y-5599D01* -X18039Y-5598D01* -X18034Y-5594D01* -X18029Y-5589D01* -X18024Y-5584D01* -X18019Y-5579D01* -X18017Y-5575D01* -X18016Y-5574D01* -X18014Y-5570D01* -X18009Y-5567D01* -X18007Y-5565D01* -X18005Y-5563D01* -X18003Y-5559D01* -X18002Y-5554D01* -X18001Y-5546D01* -X18001Y-5539D01* -X17999Y-5532D01* -X17998Y-5525D01* -X17997Y-5521D01* -X17995Y-5517D01* -X17995Y-5588D01* -X17995Y-5588D01* -X17996Y-5598D01* -X17996Y-5600D01* -X17996Y-5607D01* -X17995Y-5611D01* -X17993Y-5614D01* -X17992Y-5615D01* -X17990Y-5617D01* -X17987Y-5618D01* -X17984Y-5618D01* -X17979Y-5619D01* -X17971Y-5619D01* -X17961Y-5619D01* -X17955Y-5618D01* -X17943Y-5618D01* -X17934Y-5618D01* -X17928Y-5617D01* -X17924Y-5617D01* -X17921Y-5616D01* -X17919Y-5614D01* -X17918Y-5612D01* -X17914Y-5608D01* -X17913Y-5604D01* -X17913Y-5603D01* -X17912Y-5600D01* -X17909Y-5595D01* -X17907Y-5592D01* -X17902Y-5583D01* -X17900Y-5576D01* -X17899Y-5566D01* -X17897Y-5560D01* -X17895Y-5555D01* -X17891Y-5552D01* -X17890Y-5551D01* -X17888Y-5550D01* -X17885Y-5549D01* -X17881Y-5549D01* -X17876Y-5548D01* -X17869Y-5548D01* -X17859Y-5548D01* -X17846Y-5548D01* -X17832Y-5548D01* -X17816Y-5548D01* -X17803Y-5548D01* -X17794Y-5548D01* -X17787Y-5548D01* -X17782Y-5549D01* -X17780Y-5549D01* -X17779Y-5550D01* -X17778Y-5553D01* -X17776Y-5559D01* -X17775Y-5565D01* -X17773Y-5574D01* -X17769Y-5581D01* -X17768Y-5581D01* -X17764Y-5588D01* -X17762Y-5596D01* -X17761Y-5603D01* -X17759Y-5608D01* -X17758Y-5610D01* -X17754Y-5614D01* -X17746Y-5616D01* -X17735Y-5618D01* -X17719Y-5619D01* -X17711Y-5619D01* -X17697Y-5618D01* -X17686Y-5617D01* -X17678Y-5615D01* -X17673Y-5612D01* -X17672Y-5612D01* -X17669Y-5607D01* -X17669Y-5741D01* -X19043Y-5741D01* -X19043Y-5293D01* -X19045Y-5288D01* -X19047Y-5286D01* -X19048Y-5284D01* -X19050Y-5283D01* -X19052Y-5282D01* -X19056Y-5282D01* -X19063Y-5281D01* -X19071Y-5281D01* -X19083Y-5281D01* -X19086Y-5281D01* -X19101Y-5280D01* -X19113Y-5280D01* -X19122Y-5281D01* -X19128Y-5281D01* -X19132Y-5282D01* -X19135Y-5284D01* -X19137Y-5286D01* -X19138Y-5289D01* -X19142Y-5295D01* -X19146Y-5300D01* -X19150Y-5304D01* -X19153Y-5311D01* -X19154Y-5314D01* -X19157Y-5320D01* -X19159Y-5324D01* -X19161Y-5326D01* -X19164Y-5328D01* -X19167Y-5333D01* -X19168Y-5336D01* -X19171Y-5342D01* -X19175Y-5348D01* -X19176Y-5349D01* -X19179Y-5354D01* -X19182Y-5361D01* -X19182Y-5362D01* -X19185Y-5369D01* -X19189Y-5373D01* -X19189Y-5374D01* -X19193Y-5378D01* -X19196Y-5384D01* -X19197Y-5385D01* -X19198Y-5391D01* -X19200Y-5395D01* -X19201Y-5395D01* -X19203Y-5395D01* -X19206Y-5393D01* -X19211Y-5389D01* -X19214Y-5385D01* -X19217Y-5380D01* -X19218Y-5378D01* -X19220Y-5372D01* -X19224Y-5364D01* -X19227Y-5362D01* -X19231Y-5357D01* -X19233Y-5352D01* -X19235Y-5347D01* -X19239Y-5341D01* -X19240Y-5340D01* -X19244Y-5334D01* -X19247Y-5327D01* -X19247Y-5326D01* -X19250Y-5319D01* -X19254Y-5315D01* -X19254Y-5315D01* -X19258Y-5311D01* -X19261Y-5305D01* -X19261Y-5304D01* -X19264Y-5296D01* -X19268Y-5290D01* -X19272Y-5286D01* -X19275Y-5284D01* -X19279Y-5283D01* -X19282Y-5282D01* -X19287Y-5281D01* -X19294Y-5281D01* -X19303Y-5280D01* -X19315Y-5280D01* -X19317Y-5280D01* -X19329Y-5280D01* -X19339Y-5281D01* -X19348Y-5281D01* -X19354Y-5282D01* -X19357Y-5282D01* -X19361Y-5286D01* -X19363Y-5291D01* -X19362Y-5299D01* -X19358Y-5307D01* -X19352Y-5316D01* -X19350Y-5318D01* -X19347Y-5323D01* -X19344Y-5328D01* -X19344Y-5329D01* -X19343Y-5333D01* -X19340Y-5338D01* -X19337Y-5341D01* -X19333Y-5347D01* -X19329Y-5353D01* -X19328Y-5356D01* -X19326Y-5361D01* -X19323Y-5365D01* -X19322Y-5365D01* -X19320Y-5368D01* -X19317Y-5373D01* -X19315Y-5377D01* -X19313Y-5383D01* -X19309Y-5388D01* -X19307Y-5390D01* -X19304Y-5393D01* -X19301Y-5399D01* -X19300Y-5402D01* -X19297Y-5409D01* -X19292Y-5416D01* -X19291Y-5417D01* -X19287Y-5422D01* -X19285Y-5426D01* -X19285Y-5428D01* -X19284Y-5431D01* -X19280Y-5436D01* -X19279Y-5436D01* -X19275Y-5442D01* -X19272Y-5449D01* -X19271Y-5450D01* -X19268Y-5457D01* -X19264Y-5462D01* -X19263Y-5463D01* -X19260Y-5467D01* -X19258Y-5471D01* -X19256Y-5476D01* -X19254Y-5483D01* -X19253Y-5493D01* -X19252Y-5505D01* -X19252Y-5520D01* -X19252Y-5538D01* -X19252Y-5550D01* -X19252Y-5567D01* -X19252Y-5580D01* -X19252Y-5590D01* -X19251Y-5598D01* -X19250Y-5604D01* -X19249Y-5607D01* -X19247Y-5610D01* -X19245Y-5612D01* -X19242Y-5614D01* -X19239Y-5615D01* -X19235Y-5617D01* -X19231Y-5618D01* -X19226Y-5618D01* -X19218Y-5618D01* -X19208Y-5618D01* -X19203Y-5618D01* -X19193Y-5618D01* -X19183Y-5617D01* -X19175Y-5616D01* -X19170Y-5615D01* -X19169Y-5615D01* -X19165Y-5612D01* -X19162Y-5609D01* -X19162Y-5609D01* -X19161Y-5607D01* -X19161Y-5600D01* -X19161Y-5591D01* -X19161Y-5580D01* -X19161Y-5567D01* -X19161Y-5552D01* -X19161Y-5548D01* -X19161Y-5532D01* -X19161Y-5517D01* -X19160Y-5505D01* -X19160Y-5495D01* -X19160Y-5487D01* -X19159Y-5483D01* -X19159Y-5483D01* -X19157Y-5478D01* -X19152Y-5472D01* -X19151Y-5470D01* -X19147Y-5465D01* -X19145Y-5461D01* -X19145Y-5459D01* -X19144Y-5456D01* -X19140Y-5451D01* -X19138Y-5449D01* -X19134Y-5443D01* -X19130Y-5437D01* -X19130Y-5435D01* -X19127Y-5429D01* -X19123Y-5422D01* -X19122Y-5421D01* -X19118Y-5416D01* -X19116Y-5412D01* -X19116Y-5411D01* -X19114Y-5408D01* -X19111Y-5404D01* -X19110Y-5401D01* -X19105Y-5395D01* -X19102Y-5389D01* -X19102Y-5388D01* -X19099Y-5381D01* -X19094Y-5376D01* -X19094Y-5375D01* -X19089Y-5369D01* -X19086Y-5361D01* -X19083Y-5355D01* -X19079Y-5350D01* -X19079Y-5350D01* -X19075Y-5346D01* -X19072Y-5340D01* -X19072Y-5340D01* -X19069Y-5333D01* -X19065Y-5327D01* -X19064Y-5327D01* -X19060Y-5321D01* -X19058Y-5316D01* -X19057Y-5315D01* -X19055Y-5310D01* -X19051Y-5304D01* -X19049Y-5303D01* -X19045Y-5297D01* -X19043Y-5293D01* -X19043Y-5741D01* -X19367Y-5741D01* -X19367Y-5515D01* -X19367Y-5504D01* -X19367Y-5497D01* -X19367Y-5492D01* -X19368Y-5488D01* -X19370Y-5485D01* -X19373Y-5481D01* -X19373Y-5480D01* -X19376Y-5474D01* -X19379Y-5469D01* -X19379Y-5467D01* -X19381Y-5464D01* -X19384Y-5460D01* -X19385Y-5459D01* -X19390Y-5454D01* -X19394Y-5450D01* -X19394Y-5449D01* -X19398Y-5445D01* -X19402Y-5443D01* -X19409Y-5439D01* -X19412Y-5434D01* -X19412Y-5429D01* -X19408Y-5424D01* -X19404Y-5421D01* -X19399Y-5416D01* -X19396Y-5411D01* -X19394Y-5407D01* -X19392Y-5400D01* -X19389Y-5392D01* -X19384Y-5382D01* -X19384Y-5382D01* -X19381Y-5373D01* -X19380Y-5363D01* -X19382Y-5351D01* -X19385Y-5340D01* -X19387Y-5337D01* -X19390Y-5330D01* -X19393Y-5323D01* -X19395Y-5319D01* -X19398Y-5314D01* -X19402Y-5312D01* -X19406Y-5308D01* -X19409Y-5305D01* -X19412Y-5301D01* -X19417Y-5297D01* -X19419Y-5296D01* -X19426Y-5292D01* -X19432Y-5288D01* -X19434Y-5287D01* -X19437Y-5286D01* -X19439Y-5284D01* -X19444Y-5283D01* -X19449Y-5283D01* -X19457Y-5282D01* -X19469Y-5282D01* -X19471Y-5282D01* -X19481Y-5281D01* -X19493Y-5281D01* -X19508Y-5281D01* -X19525Y-5281D01* -X19543Y-5280D01* -X19561Y-5280D01* -X19573Y-5280D01* -X19591Y-5280D01* -X19607Y-5280D01* -X19619Y-5280D01* -X19628Y-5281D01* -X19635Y-5281D01* -X19640Y-5281D01* -X19643Y-5282D01* -X19645Y-5282D01* -X19647Y-5283D01* -X19648Y-5284D01* -X19648Y-5284D01* -X19649Y-5285D01* -X19649Y-5286D01* -X19650Y-5287D01* -X19650Y-5290D01* -X19651Y-5293D01* -X19651Y-5298D01* -X19651Y-5304D01* -X19652Y-5311D01* -X19652Y-5321D01* -X19652Y-5334D01* -X19652Y-5348D01* -X19652Y-5366D01* -X19652Y-5387D01* -X19652Y-5411D01* -X19652Y-5438D01* -X19652Y-5446D01* -X19652Y-5477D01* -X19652Y-5504D01* -X19652Y-5529D01* -X19652Y-5551D01* -X19651Y-5570D01* -X19651Y-5585D01* -X19651Y-5597D01* -X19651Y-5605D01* -X19650Y-5609D01* -X19650Y-5610D01* -X19647Y-5614D01* -X19644Y-5617D01* -X19640Y-5617D01* -X19634Y-5618D01* -X19625Y-5618D01* -X19613Y-5618D01* -X19599Y-5618D01* -X19585Y-5619D01* -X19569Y-5619D01* -X19553Y-5619D01* -X19538Y-5619D01* -X19524Y-5618D01* -X19511Y-5618D01* -X19500Y-5618D01* -X19493Y-5618D01* -X19487Y-5617D01* -X19486Y-5617D01* -X19480Y-5616D01* -X19472Y-5615D01* -X19462Y-5614D01* -X19455Y-5613D01* -X19445Y-5612D01* -X19438Y-5612D01* -X19434Y-5611D01* -X19431Y-5609D01* -X19428Y-5607D01* -X19427Y-5605D01* -X19422Y-5601D01* -X19418Y-5599D01* -X19417Y-5599D01* -X19409Y-5597D01* -X19402Y-5592D01* -X19395Y-5585D01* -X19390Y-5579D01* -X19386Y-5574D01* -X19384Y-5572D01* -X19381Y-5567D01* -X19378Y-5562D01* -X19376Y-5556D01* -X19373Y-5549D01* -X19372Y-5547D01* -X19370Y-5544D01* -X19368Y-5540D01* -X19367Y-5536D01* -X19367Y-5531D01* -X19367Y-5523D01* -X19367Y-5515D01* -X19367Y-5741D01* -X19493Y-5741D01* -X22443Y-5741D01* -X22443Y-4756D01* -X22443Y-4695D01* -X22443Y-4633D01* -X22443Y-4572D01* -X22443Y-4513D01* -X22443Y-4454D01* -X22443Y-4397D01* -X22443Y-4341D01* -X22443Y-4287D01* -X22443Y-4235D01* -X22443Y-4184D01* -X22443Y-4135D01* -X22443Y-4089D01* -X22443Y-4044D01* -X22443Y-4002D01* -X22444Y-3963D01* -X22444Y-3925D01* -X22444Y-3891D01* -X22444Y-3859D01* -X22444Y-3831D01* -X22444Y-3805D01* -X22444Y-3783D01* -X22444Y-3764D01* -X22444Y-3749D01* -X22444Y-3737D01* -X22444Y-3728D01* -X22444Y-3724D01* -X22446Y-3676D01* -X19493Y-3676D01* -X19386Y-3676D01* -X19279Y-3676D01* -X19173Y-3676D01* -X19069Y-3676D01* -X18965Y-3676D01* -X18863Y-3676D01* -X18763Y-3676D01* -X18663Y-3676D01* -X18565Y-3676D01* -X18469Y-3676D01* -X18374Y-3676D01* -X18281Y-3676D01* -X18190Y-3676D01* -X18100Y-3676D01* -X18012Y-3676D01* -X17926Y-3676D01* -X17842Y-3676D01* -X17761Y-3676D01* -X17681Y-3676D01* -X17603Y-3676D01* -X17528Y-3676D01* -X17455Y-3676D01* -X17385Y-3676D01* -X17316Y-3676D01* -X17251Y-3676D01* -X17188Y-3676D01* -X17128Y-3676D01* -X17070Y-3676D01* -X17015Y-3676D01* -X16963Y-3676D01* -X16914Y-3676D01* -X16868Y-3676D01* -X16825Y-3676D01* -X16786Y-3676D01* -X16749Y-3676D01* -X16716Y-3676D01* -X16685Y-3676D01* -X16659Y-3676D01* -X16636Y-3676D01* -X16616Y-3677D01* -X16600Y-3677D01* -X16588Y-3677D01* -X16579Y-3677D01* -X16574Y-3677D01* -X16606Y-3677D01* -X16606Y-3684D01* -X16604Y-3689D01* -X16600Y-3692D01* -X16598Y-3693D01* -X16594Y-3696D01* -X16588Y-3700D01* -X16581Y-3706D01* -X16576Y-3712D01* -X16569Y-3719D01* -X16564Y-3725D01* -X16561Y-3730D01* -X16559Y-3733D01* -X16556Y-3737D01* -X16552Y-3739D01* -X16548Y-3740D01* -X16541Y-3740D01* -X16541Y-4740D01* -X16541Y-5741D01* -X16541Y-5741D01* -X16541Y-5741D01* -G37* -G36* -X19457Y-5514D02* -X19458Y-5523D01* -X19461Y-5531D01* -X19465Y-5539D01* -X19470Y-5543D01* -X19473Y-5544D01* -X19477Y-5545D01* -X19484Y-5545D01* -X19493Y-5546D01* -X19503Y-5546D01* -X19515Y-5546D01* -X19527Y-5546D01* -X19538Y-5546D01* -X19549Y-5546D01* -X19558Y-5546D01* -X19564Y-5546D01* -X19567Y-5545D01* -X19567Y-5545D01* -X19567Y-5542D01* -X19568Y-5537D01* -X19568Y-5529D01* -X19568Y-5519D01* -X19568Y-5509D01* -X19568Y-5499D01* -X19567Y-5490D01* -X19567Y-5483D01* -X19567Y-5478D01* -X19566Y-5478D01* -X19566Y-5476D01* -X19564Y-5475D01* -X19561Y-5475D01* -X19556Y-5474D01* -X19549Y-5474D01* -X19538Y-5475D01* -X19535Y-5475D01* -X19524Y-5475D01* -X19512Y-5476D01* -X19502Y-5477D01* -X19495Y-5478D01* -X19493Y-5478D01* -X19486Y-5480D01* -X19479Y-5481D01* -X19474Y-5481D01* -X19469Y-5482D01* -X19465Y-5483D01* -X19464Y-5483D01* -X19462Y-5486D01* -X19460Y-5492D01* -X19458Y-5500D01* -X19457Y-5509D01* -X19457Y-5514D01* -X19457Y-5514D01* -X19457Y-5514D01* -G37* -G36* -X19469Y-5379D02* -X19469Y-5384D01* -X19469Y-5384D01* -X19471Y-5390D01* -X19475Y-5396D01* -X19480Y-5400D01* -X19484Y-5402D01* -X19485Y-5402D01* -X19488Y-5403D01* -X19493Y-5406D01* -X19494Y-5407D01* -X19497Y-5408D01* -X19501Y-5410D01* -X19506Y-5411D01* -X19512Y-5411D01* -X19521Y-5412D01* -X19531Y-5412D01* -X19542Y-5412D01* -X19551Y-5413D01* -X19559Y-5413D01* -X19563Y-5413D01* -X19564Y-5412D01* -X19567Y-5411D01* -X19567Y-5410D01* -X19568Y-5407D01* -X19568Y-5400D01* -X19568Y-5392D01* -X19568Y-5382D01* -X19568Y-5373D01* -X19568Y-5364D01* -X19568Y-5357D01* -X19568Y-5353D01* -X19568Y-5352D01* -X19566Y-5351D01* -X19563Y-5350D01* -X19556Y-5349D01* -X19547Y-5349D01* -X19534Y-5349D01* -X19532Y-5349D01* -X19520Y-5349D01* -X19509Y-5349D01* -X19499Y-5350D01* -X19492Y-5350D01* -X19489Y-5350D01* -X19484Y-5351D01* -X19481Y-5353D01* -X19478Y-5355D01* -X19476Y-5360D01* -X19474Y-5365D01* -X19470Y-5373D01* -X19469Y-5379D01* -X19469Y-5379D01* -X19469Y-5379D01* -G37* -G36* -X17807Y-5468D02* -X17808Y-5472D01* -X17810Y-5475D01* -X17813Y-5475D01* -X17820Y-5475D01* -X17828Y-5476D01* -X17838Y-5476D01* -X17850Y-5475D01* -X17858Y-5474D01* -X17863Y-5473D01* -X17865Y-5471D01* -X17865Y-5468D01* -X17862Y-5464D01* -X17858Y-5459D01* -X17856Y-5451D01* -X17856Y-5447D01* -X17853Y-5436D01* -X17849Y-5428D01* -X17845Y-5419D01* -X17842Y-5408D01* -X17841Y-5406D01* -X17840Y-5399D01* -X17839Y-5394D01* -X17837Y-5391D01* -X17837Y-5391D01* -X17835Y-5393D01* -X17832Y-5398D01* -X17829Y-5405D01* -X17826Y-5412D01* -X17823Y-5420D01* -X17821Y-5428D01* -X17820Y-5432D01* -X17817Y-5445D01* -X17813Y-5453D01* -X17808Y-5461D01* -X17807Y-5468D01* -X17807Y-5468D01* -X17807Y-5468D01* -G37* -G36* -X20659Y-4650D02* -X20659Y-4665D01* -X20660Y-4680D01* -X20661Y-4703D01* -X20662Y-4722D01* -X20663Y-4739D01* -X20665Y-4753D01* -X20666Y-4765D01* -X20667Y-4776D01* -X20669Y-4785D01* -X20670Y-4792D01* -X20673Y-4803D01* -X20675Y-4814D01* -X20677Y-4824D01* -X20677Y-4829D01* -X20679Y-4838D01* -X20682Y-4848D01* -X20684Y-4857D01* -X20687Y-4865D01* -X20690Y-4874D01* -X20691Y-4880D01* -X20694Y-4888D01* -X20697Y-4898D01* -X20699Y-4902D01* -X20702Y-4910D01* -X20705Y-4918D01* -X20706Y-4922D01* -X20708Y-4929D01* -X20711Y-4936D01* -X20712Y-4938D01* -X20715Y-4945D01* -X20718Y-4952D01* -X20719Y-4953D01* -X20721Y-4959D01* -X20725Y-4966D01* -X20727Y-4969D01* -X20731Y-4977D01* -X20734Y-4985D01* -X20735Y-4988D01* -X20738Y-4994D01* -X20740Y-4999D01* -X20742Y-5001D01* -X20744Y-5004D01* -X20747Y-5009D01* -X20748Y-5012D01* -X20752Y-5019D01* -X20757Y-5026D01* -X20758Y-5027D01* -X20762Y-5033D01* -X20765Y-5038D01* -X20765Y-5039D01* -X20767Y-5045D01* -X20771Y-5050D01* -X20771Y-5051D01* -X20775Y-5056D01* -X20779Y-5063D01* -X20780Y-5064D01* -X20782Y-5067D01* -X20782Y-4641D01* -X20782Y-4633D01* -X20782Y-4624D01* -X20782Y-4613D01* -X20783Y-4601D01* -X20783Y-4586D01* -X20784Y-4569D01* -X20787Y-4510D01* -X20792Y-4502D01* -X20797Y-4493D01* -X20799Y-4481D01* -X20801Y-4466D01* -X20801Y-4461D01* -X20803Y-4458D01* -X20806Y-4454D01* -X20807Y-4453D01* -X20809Y-4449D01* -X20811Y-4444D01* -X20813Y-4436D01* -X20814Y-4428D01* -X20816Y-4417D01* -X20818Y-4409D01* -X20821Y-4403D01* -X20822Y-4402D01* -X20825Y-4395D01* -X20828Y-4388D01* -X20829Y-4386D01* -X20831Y-4379D01* -X20835Y-4372D01* -X20836Y-4371D01* -X20840Y-4365D01* -X20843Y-4359D01* -X20844Y-4358D01* -X20846Y-4352D01* -X20850Y-4346D01* -X20851Y-4345D01* -X20856Y-4338D01* -X20858Y-4332D01* -X20861Y-4323D01* -X20865Y-4318D01* -X20871Y-4310D01* -X20873Y-4304D01* -X20876Y-4295D01* -X20881Y-4289D01* -X20885Y-4284D01* -X20888Y-4280D01* -X20888Y-4279D01* -X20889Y-4276D01* -X20893Y-4272D01* -X20895Y-4271D01* -X20899Y-4267D01* -X20902Y-4264D01* -X20902Y-4263D01* -X20903Y-4261D01* -X20906Y-4256D01* -X20909Y-4253D01* -X20915Y-4246D01* -X20921Y-4239D01* -X20924Y-4234D01* -X20938Y-4218D01* -X20951Y-4203D01* -X20952Y-4202D01* -X20957Y-4197D01* -X20961Y-4191D01* -X20961Y-4190D01* -X20965Y-4186D01* -X20969Y-4184D01* -X20972Y-4181D01* -X20976Y-4177D01* -X20979Y-4173D01* -X20983Y-4170D01* -X20987Y-4168D01* -X20992Y-4164D01* -X20995Y-4161D01* -X21003Y-4154D01* -X21009Y-4148D01* -X21013Y-4144D01* -X21018Y-4141D01* -X21022Y-4138D01* -X21027Y-4134D01* -X21031Y-4131D01* -X21032Y-4130D01* -X21033Y-4128D01* -X21037Y-4125D01* -X21039Y-4125D01* -X21044Y-4122D01* -X21047Y-4118D01* -X21048Y-4118D01* -X21051Y-4115D01* -X21056Y-4111D01* -X21057Y-4110D01* -X21062Y-4107D01* -X21066Y-4103D01* -X21067Y-4102D01* -X21070Y-4100D01* -X21076Y-4097D01* -X21079Y-4095D01* -X21086Y-4093D01* -X21092Y-4089D01* -X21094Y-4088D01* -X21099Y-4084D01* -X21105Y-4081D01* -X21106Y-4081D01* -X21113Y-4078D01* -X21119Y-4074D01* -X21120Y-4074D01* -X21125Y-4070D01* -X21132Y-4067D01* -X21132Y-4067D01* -X21138Y-4064D01* -X21144Y-4060D01* -X21144Y-4060D01* -X21149Y-4056D01* -X21155Y-4053D01* -X21157Y-4053D01* -X21163Y-4050D01* -X21170Y-4047D01* -X21173Y-4045D01* -X21178Y-4042D01* -X21184Y-4040D01* -X21191Y-4038D01* -X21198Y-4037D01* -X21207Y-4036D01* -X21215Y-4034D01* -X21221Y-4031D01* -X21223Y-4030D01* -X21230Y-4026D01* -X21236Y-4024D01* -X21244Y-4023D01* -X21248Y-4023D01* -X21257Y-4021D01* -X21264Y-4020D01* -X21270Y-4017D01* -X21273Y-4015D01* -X21282Y-4009D01* -X21329Y-4007D01* -X21345Y-4007D01* -X21358Y-4006D01* -X21368Y-4006D01* -X21376Y-4005D01* -X21383Y-4004D01* -X21389Y-4002D01* -X21390Y-4002D01* -X21407Y-3999D01* -X21423Y-3998D01* -X21439Y-4001D01* -X21442Y-4002D01* -X21447Y-4003D01* -X21453Y-4005D01* -X21460Y-4005D01* -X21469Y-4006D01* -X21480Y-4007D01* -X21494Y-4007D01* -X21502Y-4007D01* -X21549Y-4009D01* -X21558Y-4015D01* -X21566Y-4019D01* -X21575Y-4022D01* -X21582Y-4023D01* -X21592Y-4024D01* -X21600Y-4026D01* -X21606Y-4029D01* -X21610Y-4032D01* -X21613Y-4034D01* -X21619Y-4036D01* -X21628Y-4037D01* -X21631Y-4037D01* -X21641Y-4039D01* -X21648Y-4040D01* -X21652Y-4042D01* -X21653Y-4043D01* -X21660Y-4048D01* -X21668Y-4051D01* -X21672Y-4052D01* -X21678Y-4054D01* -X21683Y-4057D01* -X21683Y-4058D01* -X21689Y-4063D01* -X21696Y-4067D01* -X21699Y-4067D01* -X21702Y-4068D01* -X21707Y-4071D01* -X21711Y-4074D01* -X21717Y-4078D01* -X21723Y-4081D01* -X21725Y-4082D01* -X21731Y-4084D01* -X21737Y-4088D01* -X21738Y-4089D01* -X21744Y-4093D01* -X21751Y-4096D01* -X21752Y-4096D01* -X21758Y-4098D01* -X21762Y-4101D01* -X21762Y-4102D01* -X21765Y-4104D01* -X21771Y-4108D01* -X21773Y-4110D01* -X21779Y-4114D01* -X21785Y-4119D01* -X21786Y-4120D01* -X21791Y-4124D01* -X21797Y-4129D01* -X21800Y-4132D01* -X21812Y-4143D01* -X21822Y-4151D01* -X21830Y-4159D01* -X21833Y-4162D01* -X21838Y-4166D01* -X21841Y-4169D01* -X21842Y-4169D01* -X21845Y-4171D01* -X21849Y-4174D01* -X21855Y-4179D01* -X21861Y-4186D01* -X21867Y-4192D01* -X21873Y-4198D01* -X21877Y-4203D01* -X21879Y-4206D01* -X21882Y-4211D01* -X21886Y-4213D01* -X21886Y-4213D01* -X21890Y-4216D01* -X21891Y-4219D01* -X21893Y-4222D01* -X21897Y-4227D01* -X21899Y-4230D01* -X21906Y-4237D01* -X21912Y-4244D01* -X21918Y-4251D01* -X21926Y-4260D01* -X21935Y-4271D01* -X21943Y-4282D01* -X21948Y-4288D01* -X21951Y-4295D01* -X21951Y-4295D01* -X21955Y-4302D01* -X21959Y-4307D01* -X21959Y-4307D01* -X21963Y-4313D01* -X21966Y-4320D01* -X21966Y-4320D01* -X21968Y-4327D01* -X21971Y-4332D01* -X21977Y-4338D01* -X21980Y-4345D01* -X21980Y-4348D01* -X21982Y-4352D01* -X21985Y-4357D01* -X21986Y-4359D01* -X21991Y-4365D01* -X21995Y-4373D01* -X21996Y-4375D01* -X21998Y-4382D01* -X22002Y-4387D01* -X22003Y-4389D01* -X22006Y-4392D01* -X22008Y-4396D01* -X22009Y-4401D01* -X22010Y-4409D01* -X22011Y-4411D01* -X22013Y-4418D01* -X22016Y-4426D01* -X22017Y-4427D01* -X22020Y-4433D01* -X22023Y-4441D01* -X22024Y-4450D01* -X22026Y-4460D01* -X22028Y-4468D01* -X22032Y-4474D01* -X22035Y-4480D01* -X22037Y-4486D01* -X22039Y-4494D01* -X22039Y-4501D01* -X22041Y-4511D01* -X22043Y-4523D01* -X22046Y-4535D01* -X22047Y-4541D01* -X22052Y-4562D01* -X22052Y-4639D01* -X22052Y-4714D01* -X22046Y-4740D01* -X22043Y-4750D01* -X22041Y-4760D01* -X22040Y-4768D01* -X22039Y-4773D01* -X22039Y-4774D01* -X22038Y-4787D01* -X22034Y-4797D01* -X22031Y-4802D01* -X22028Y-4806D01* -X22027Y-4811D01* -X22025Y-4818D01* -X22025Y-4823D01* -X22024Y-4833D01* -X22022Y-4840D01* -X22019Y-4845D01* -X22018Y-4848D01* -X22013Y-4858D01* -X22010Y-4870D01* -X22008Y-4879D01* -X22006Y-4885D01* -X22003Y-4889D01* -X22002Y-4890D01* -X21998Y-4896D01* -X21995Y-4902D01* -X21994Y-4903D01* -X21992Y-4910D01* -X21988Y-4915D01* -X21987Y-4916D01* -X21984Y-4921D01* -X21981Y-4928D01* -X21980Y-4929D01* -X21978Y-4936D01* -X21974Y-4941D01* -X21973Y-4942D01* -X21970Y-4947D01* -X21967Y-4953D01* -X21966Y-4954D01* -X21964Y-4961D01* -X21959Y-4968D01* -X21959Y-4968D01* -X21955Y-4974D01* -X21951Y-4981D01* -X21951Y-4982D01* -X21947Y-4990D01* -X21943Y-4994D01* -X21938Y-4999D01* -X21934Y-5004D01* -X21934Y-5005D01* -X21931Y-5009D01* -X21928Y-5011D01* -X21924Y-5014D01* -X21921Y-5018D01* -X21918Y-5023D01* -X21914Y-5028D01* -X21913Y-5028D01* -X21910Y-5032D01* -X21908Y-5035D01* -X21908Y-5035D01* -X21906Y-5038D01* -X21903Y-5042D01* -X21902Y-5043D01* -X21897Y-5047D01* -X21894Y-5052D01* -X21894Y-5052D01* -X21890Y-5057D01* -X21885Y-5065D01* -X21877Y-5073D01* -X21870Y-5081D01* -X21862Y-5089D01* -X21855Y-5096D01* -X21850Y-5100D01* -X21850Y-5100D01* -X21841Y-5106D01* -X21832Y-5114D01* -X21823Y-5122D01* -X21822Y-5122D01* -X21817Y-5126D01* -X21813Y-5129D01* -X21812Y-5130D01* -X21808Y-5132D01* -X21804Y-5137D01* -X21801Y-5141D01* -X21799Y-5142D01* -X21798Y-5142D01* -X21797Y-5143D01* -X21793Y-5145D01* -X21789Y-5149D01* -X21782Y-5155D01* -X21774Y-5163D01* -X21771Y-5166D01* -X21764Y-5171D01* -X21757Y-5174D01* -X21756Y-5174D01* -X21748Y-5177D01* -X21744Y-5181D01* -X21739Y-5185D01* -X21731Y-5188D01* -X21729Y-5189D01* -X21723Y-5191D01* -X21718Y-5194D01* -X21716Y-5196D01* -X21713Y-5198D01* -X21707Y-5201D01* -X21704Y-5203D01* -X21697Y-5206D01* -X21690Y-5210D01* -X21688Y-5212D01* -X21683Y-5215D01* -X21679Y-5217D01* -X21678Y-5217D01* -X21674Y-5218D01* -X21669Y-5221D01* -X21667Y-5223D01* -X21655Y-5230D01* -X21643Y-5233D01* -X21637Y-5233D01* -X21629Y-5234D01* -X21622Y-5238D01* -X21621Y-5239D01* -X21616Y-5242D01* -X21610Y-5244D01* -X21603Y-5246D01* -X21597Y-5247D01* -X21586Y-5248D01* -X21579Y-5250D01* -X21574Y-5253D01* -X21569Y-5256D01* -X21565Y-5258D01* -X21560Y-5260D01* -X21555Y-5261D01* -X21547Y-5261D01* -X21537Y-5262D01* -X21531Y-5263D01* -X21514Y-5264D01* -X21499Y-5266D01* -X21487Y-5268D01* -X21465Y-5273D01* -X21441Y-5275D01* -X21414Y-5276D01* -X21386Y-5275D01* -X21382Y-5274D01* -X21371Y-5273D01* -X21360Y-5272D01* -X21350Y-5270D01* -X21344Y-5268D01* -X21334Y-5266D01* -X21321Y-5264D01* -X21305Y-5263D01* -X21301Y-5263D01* -X21289Y-5262D01* -X21280Y-5261D01* -X21274Y-5260D01* -X21269Y-5259D01* -X21265Y-5257D01* -X21261Y-5255D01* -X21259Y-5253D01* -X21254Y-5250D01* -X21247Y-5248D01* -X21237Y-5247D01* -X21236Y-5247D01* -X21227Y-5245D01* -X21219Y-5243D01* -X21215Y-5241D01* -X21209Y-5237D01* -X21204Y-5235D01* -X21197Y-5233D01* -X21189Y-5232D01* -X21189Y-5232D01* -X21181Y-5231D01* -X21175Y-5229D01* -X21171Y-5227D01* -X21169Y-5225D01* -X21163Y-5221D01* -X21156Y-5218D01* -X21154Y-5217D01* -X21146Y-5214D01* -X21141Y-5210D01* -X21136Y-5206D01* -X21129Y-5203D01* -X21122Y-5201D01* -X21115Y-5196D01* -X21112Y-5194D01* -X21107Y-5191D01* -X21102Y-5188D01* -X21100Y-5188D01* -X21095Y-5186D01* -X21090Y-5183D01* -X21088Y-5182D01* -X21082Y-5178D01* -X21076Y-5174D01* -X21075Y-5174D01* -X21069Y-5171D01* -X21063Y-5167D01* -X21061Y-5165D01* -X21055Y-5161D01* -X21048Y-5155D01* -X21043Y-5151D01* -X21031Y-5141D01* -X21017Y-5130D01* -X21006Y-5120D01* -X21000Y-5115D01* -X20993Y-5109D01* -X20990Y-5107D01* -X20981Y-5099D01* -X20973Y-5092D01* -X20968Y-5087D01* -X20964Y-5083D01* -X20960Y-5080D01* -X20957Y-5077D01* -X20954Y-5073D01* -X20953Y-5071D01* -X20944Y-5062D01* -X20938Y-5054D01* -X20933Y-5048D01* -X20927Y-5042D01* -X20925Y-5040D01* -X20916Y-5030D01* -X20910Y-5023D01* -X20905Y-5017D01* -X20901Y-5012D01* -X20897Y-5007D01* -X20895Y-5005D01* -X20890Y-4998D01* -X20884Y-4991D01* -X20881Y-4987D01* -X20877Y-4982D01* -X20874Y-4976D01* -X20873Y-4974D01* -X20871Y-4968D01* -X20868Y-4962D01* -X20865Y-4959D01* -X20861Y-4954D01* -X20859Y-4949D01* -X20859Y-4947D01* -X20857Y-4943D01* -X20854Y-4937D01* -X20852Y-4934D01* -X20847Y-4927D01* -X20844Y-4919D01* -X20844Y-4918D01* -X20842Y-4911D01* -X20838Y-4907D01* -X20833Y-4901D01* -X20830Y-4894D01* -X20828Y-4888D01* -X20826Y-4882D01* -X20822Y-4875D01* -X20822Y-4875D01* -X20819Y-4871D01* -X20817Y-4866D01* -X20816Y-4859D01* -X20814Y-4850D01* -X20813Y-4841D01* -X20811Y-4834D01* -X20809Y-4829D01* -X20809Y-4828D01* -X20805Y-4823D01* -X20803Y-4817D01* -X20801Y-4809D01* -X20799Y-4800D01* -X20798Y-4790D01* -X20796Y-4782D01* -X20792Y-4776D01* -X20792Y-4776D01* -X20791Y-4774D01* -X20790Y-4772D01* -X20789Y-4770D01* -X20788Y-4767D01* -X20787Y-4763D01* -X20787Y-4759D01* -X20786Y-4752D01* -X20786Y-4743D01* -X20785Y-4731D01* -X20785Y-4717D01* -X20784Y-4700D01* -X20783Y-4694D01* -X20783Y-4679D01* -X20782Y-4667D01* -X20782Y-4658D01* -X20782Y-4649D01* -X20782Y-4641D01* -X20782Y-5067D01* -X20783Y-5069D01* -X20787Y-5073D01* -X20788Y-5074D01* -X20791Y-5077D01* -X20794Y-5082D01* -X20796Y-5085D01* -X20798Y-5090D01* -X20800Y-5093D01* -X20801Y-5093D01* -X20803Y-5095D01* -X20806Y-5098D01* -X20807Y-5099D01* -X20812Y-5107D01* -X20821Y-5117D01* -X20832Y-5130D01* -X20845Y-5145D01* -X20848Y-5148D01* -X20858Y-5158D01* -X20865Y-5165D01* -X20870Y-5171D01* -X20874Y-5175D01* -X20878Y-5179D01* -X20882Y-5182D01* -X20887Y-5186D01* -X20889Y-5188D01* -X20896Y-5193D01* -X20903Y-5200D01* -X20908Y-5204D01* -X20913Y-5208D01* -X20918Y-5211D01* -X20920Y-5212D01* -X20923Y-5215D01* -X20926Y-5219D01* -X20927Y-5221D01* -X20931Y-5227D01* -X20935Y-5231D01* -X20941Y-5233D01* -X20943Y-5234D01* -X20948Y-5236D01* -X20955Y-5241D01* -X20958Y-5244D01* -X20964Y-5249D01* -X20972Y-5256D01* -X20977Y-5260D01* -X20984Y-5266D01* -X20990Y-5271D01* -X20994Y-5274D01* -X21000Y-5278D01* -X21007Y-5282D01* -X21008Y-5282D01* -X21014Y-5285D01* -X21020Y-5289D01* -X21021Y-5290D01* -X21025Y-5294D01* -X21028Y-5296D01* -X21029Y-5296D01* -X21032Y-5297D01* -X21037Y-5300D01* -X21041Y-5302D01* -X21048Y-5307D01* -X21054Y-5310D01* -X21057Y-5312D01* -X21063Y-5315D01* -X21070Y-5318D01* -X21073Y-5320D01* -X21079Y-5323D01* -X21083Y-5325D01* -X21085Y-5326D01* -X21088Y-5326D01* -X21093Y-5329D01* -X21099Y-5332D01* -X21099Y-5332D01* -X21107Y-5336D01* -X21116Y-5340D01* -X21122Y-5342D01* -X21129Y-5344D01* -X21135Y-5347D01* -X21138Y-5349D01* -X21142Y-5351D01* -X21149Y-5354D01* -X21157Y-5356D01* -X21157Y-5356D01* -X21166Y-5358D01* -X21174Y-5361D01* -X21180Y-5364D01* -X21187Y-5367D01* -X21197Y-5369D01* -X21205Y-5371D01* -X21214Y-5373D01* -X21222Y-5375D01* -X21228Y-5377D01* -X21229Y-5377D01* -X21235Y-5380D01* -X21242Y-5382D01* -X21251Y-5383D01* -X21262Y-5385D01* -X21276Y-5386D01* -X21287Y-5388D01* -X21298Y-5389D01* -X21307Y-5391D01* -X21312Y-5393D01* -X21322Y-5395D01* -X21335Y-5397D01* -X21349Y-5398D01* -X21352Y-5398D01* -X21362Y-5399D01* -X21371Y-5400D01* -X21378Y-5400D01* -X21382Y-5401D01* -X21382Y-5401D01* -X21387Y-5401D01* -X21394Y-5401D01* -X21400Y-5401D01* -X21423Y-5400D01* -X21443Y-5398D01* -X21459Y-5397D01* -X21473Y-5396D01* -X21485Y-5395D01* -X21495Y-5393D01* -X21499Y-5392D01* -X21509Y-5391D01* -X21522Y-5389D01* -X21536Y-5388D01* -X21549Y-5387D01* -X21551Y-5387D01* -X21565Y-5386D01* -X21576Y-5385D01* -X21584Y-5384D01* -X21591Y-5382D01* -X21597Y-5380D01* -X21603Y-5378D01* -X21605Y-5377D01* -X21611Y-5375D01* -X21619Y-5373D01* -X21628Y-5371D01* -X21631Y-5371D01* -X21643Y-5368D01* -X21652Y-5365D01* -X21655Y-5363D01* -X21660Y-5361D01* -X21668Y-5358D01* -X21676Y-5356D01* -X21677Y-5356D01* -X21685Y-5353D01* -X21693Y-5350D01* -X21699Y-5348D01* -X21699Y-5347D01* -X21705Y-5344D01* -X21713Y-5341D01* -X21715Y-5340D01* -X21722Y-5338D01* -X21728Y-5335D01* -X21730Y-5334D01* -X21735Y-5331D01* -X21741Y-5329D01* -X21748Y-5328D01* -X21756Y-5323D01* -X21763Y-5318D01* -X21770Y-5314D01* -X21774Y-5313D01* -X21781Y-5310D01* -X21787Y-5305D01* -X21789Y-5304D01* -X21794Y-5299D01* -X21800Y-5296D01* -X21801Y-5296D01* -X21807Y-5293D01* -X21813Y-5289D01* -X21813Y-5289D01* -X21819Y-5285D01* -X21825Y-5282D01* -X21825Y-5282D01* -X21831Y-5278D01* -X21837Y-5273D01* -X21838Y-5272D01* -X21845Y-5266D01* -X21852Y-5264D01* -X21859Y-5262D01* -X21863Y-5261D01* -X21865Y-5259D01* -X21866Y-5257D01* -X21868Y-5249D01* -X21871Y-5245D01* -X21873Y-5242D01* -X21875Y-5242D01* -X21879Y-5240D01* -X21884Y-5236D01* -X21886Y-5233D01* -X21892Y-5228D01* -X21899Y-5222D01* -X21905Y-5217D01* -X21912Y-5211D01* -X21919Y-5205D01* -X21924Y-5200D01* -X21930Y-5195D01* -X21936Y-5191D01* -X21940Y-5190D01* -X21945Y-5187D01* -X21951Y-5182D01* -X21958Y-5175D01* -X21963Y-5168D01* -X21968Y-5161D01* -X21969Y-5159D01* -X21972Y-5154D01* -X21976Y-5148D01* -X21978Y-5146D01* -X21982Y-5142D01* -X21988Y-5135D01* -X21995Y-5127D01* -X22001Y-5120D01* -X22009Y-5111D01* -X22016Y-5102D01* -X22024Y-5094D01* -X22028Y-5089D01* -X22034Y-5082D01* -X22039Y-5076D01* -X22042Y-5071D01* -X22043Y-5070D01* -X22046Y-5065D01* -X22050Y-5060D01* -X22054Y-5056D01* -X22058Y-5051D01* -X22060Y-5047D01* -X22063Y-5041D01* -X22066Y-5037D01* -X22067Y-5035D01* -X22069Y-5033D01* -X22072Y-5028D01* -X22073Y-5025D01* -X22077Y-5018D01* -X22081Y-5011D01* -X22083Y-5009D01* -X22087Y-5003D01* -X22090Y-4997D01* -X22091Y-4995D01* -X22094Y-4989D01* -X22098Y-4982D01* -X22098Y-4982D01* -X22101Y-4976D01* -X22104Y-4969D01* -X22106Y-4965D01* -X22110Y-4956D01* -X22116Y-4947D01* -X22121Y-4942D01* -X22123Y-4938D01* -X22123Y-4934D01* -X22123Y-4932D01* -X22123Y-4925D01* -X22126Y-4920D01* -X22128Y-4915D01* -X22131Y-4908D01* -X22133Y-4900D01* -X22133Y-4900D01* -X22135Y-4892D01* -X22138Y-4884D01* -X22141Y-4879D01* -X22144Y-4872D01* -X22147Y-4863D01* -X22149Y-4854D01* -X22151Y-4844D01* -X22153Y-4834D01* -X22156Y-4826D01* -X22156Y-4825D01* -X22159Y-4817D01* -X22161Y-4809D01* -X22162Y-4798D01* -X22164Y-4786D01* -X22165Y-4774D01* -X22166Y-4759D01* -X22168Y-4744D01* -X22170Y-4731D01* -X22171Y-4730D01* -X22172Y-4720D01* -X22173Y-4708D01* -X22174Y-4695D01* -X22176Y-4680D01* -X22177Y-4664D01* -X22177Y-4649D01* -X22178Y-4634D01* -X22178Y-4621D01* -X22178Y-4611D01* -X22178Y-4602D01* -X22177Y-4597D01* -X22177Y-4597D01* -X22176Y-4592D01* -X22175Y-4584D01* -X22175Y-4582D01* -X22175Y-4577D01* -X22174Y-4569D01* -X22173Y-4559D01* -X22171Y-4548D01* -X22170Y-4545D01* -X22169Y-4532D01* -X22167Y-4520D01* -X22165Y-4507D01* -X22165Y-4498D01* -X22164Y-4497D01* -X22163Y-4480D01* -X22161Y-4466D01* -X22158Y-4456D01* -X22155Y-4448D01* -X22153Y-4442D01* -X22151Y-4434D01* -X22150Y-4428D01* -X22148Y-4416D01* -X22146Y-4407D01* -X22143Y-4400D01* -X22140Y-4395D01* -X22137Y-4388D01* -X22136Y-4382D01* -X22136Y-4381D01* -X22135Y-4375D01* -X22132Y-4366D01* -X22128Y-4358D01* -X22124Y-4349D01* -X22120Y-4341D01* -X22118Y-4335D01* -X22117Y-4333D01* -X22114Y-4326D01* -X22111Y-4319D01* -X22110Y-4318D01* -X22106Y-4312D01* -X22103Y-4305D01* -X22103Y-4304D01* -X22100Y-4297D01* -X22097Y-4290D01* -X22096Y-4289D01* -X22092Y-4283D01* -X22089Y-4276D01* -X22088Y-4275D01* -X22086Y-4269D01* -X22082Y-4263D01* -X22081Y-4262D01* -X22077Y-4257D01* -X22075Y-4254D01* -X22075Y-4253D01* -X22074Y-4250D01* -X22071Y-4245D01* -X22070Y-4243D01* -X22066Y-4237D01* -X22062Y-4231D01* -X22062Y-4229D01* -X22060Y-4226D01* -X22056Y-4221D01* -X22052Y-4215D01* -X22045Y-4207D01* -X22036Y-4196D01* -X22035Y-4195D01* -X22030Y-4189D01* -X22027Y-4185D01* -X22026Y-4182D01* -X22026Y-4178D01* -X22026Y-4177D01* -X22026Y-4170D01* -X22024Y-4167D01* -X22019Y-4165D01* -X22017Y-4165D01* -X22011Y-4164D01* -X22007Y-4160D01* -X22003Y-4156D01* -X21999Y-4150D01* -X21995Y-4146D01* -X21990Y-4139D01* -X21983Y-4131D01* -X21978Y-4125D01* -X21973Y-4118D01* -X21968Y-4112D01* -X21964Y-4107D01* -X21959Y-4102D01* -X21955Y-4097D01* -X21954Y-4096D01* -X21951Y-4092D01* -X21949Y-4090D01* -X21949Y-4090D01* -X21946Y-4089D01* -X21942Y-4086D01* -X21937Y-4083D01* -X21932Y-4078D01* -X21925Y-4072D01* -X21917Y-4065D01* -X21910Y-4059D01* -X21900Y-4051D01* -X21893Y-4045D01* -X21888Y-4041D01* -X21885Y-4038D01* -X21883Y-4035D01* -X21881Y-4032D01* -X21880Y-4030D01* -X21876Y-4025D01* -X21869Y-4021D01* -X21867Y-4021D01* -X21860Y-4017D01* -X21852Y-4012D01* -X21851Y-4010D01* -X21845Y-4005D01* -X21839Y-4000D01* -X21837Y-3999D01* -X21831Y-3995D01* -X21826Y-3989D01* -X21825Y-3987D01* -X21821Y-3982D01* -X21818Y-3980D01* -X21815Y-3979D01* -X21812Y-3980D01* -X21807Y-3980D01* -X21802Y-3977D01* -X21799Y-3976D01* -X21794Y-3972D01* -X21791Y-3971D01* -X21790Y-3970D01* -X21788Y-3969D01* -X21784Y-3966D01* -X21784Y-3966D01* -X21779Y-3962D01* -X21773Y-3959D01* -X21768Y-3958D01* -X21761Y-3955D01* -X21756Y-3952D01* -X21749Y-3948D01* -X21743Y-3945D01* -X21739Y-3944D01* -X21734Y-3942D01* -X21727Y-3939D01* -X21724Y-3937D01* -X21717Y-3933D01* -X21711Y-3930D01* -X21704Y-3928D01* -X21699Y-3927D01* -X21692Y-3925D01* -X21685Y-3922D01* -X21684Y-3921D01* -X21679Y-3919D01* -X21672Y-3916D01* -X21664Y-3914D01* -X21663Y-3913D01* -X21654Y-3911D01* -X21646Y-3908D01* -X21640Y-3906D01* -X21639Y-3905D01* -X21634Y-3903D01* -X21630Y-3902D01* -X21629Y-3901D01* -X21626Y-3900D01* -X21622Y-3897D01* -X21621Y-3897D01* -X21617Y-3894D01* -X21614Y-3893D01* -X21611Y-3895D01* -X21605Y-3897D01* -X21596Y-3897D01* -X21584Y-3893D01* -X21583Y-3893D01* -X21570Y-3889D01* -X21555Y-3886D01* -X21553Y-3886D01* -X21544Y-3885D01* -X21537Y-3884D01* -X21532Y-3883D01* -X21531Y-3882D01* -X21528Y-3881D01* -X21523Y-3882D01* -X21522Y-3882D01* -X21517Y-3883D01* -X21509Y-3883D01* -X21498Y-3883D01* -X21486Y-3883D01* -X21474Y-3882D01* -X21461Y-3881D01* -X21449Y-3880D01* -X21444Y-3879D01* -X21431Y-3877D01* -X21420Y-3876D01* -X21410Y-3876D01* -X21398Y-3878D01* -X21393Y-3879D01* -X21386Y-3880D01* -X21377Y-3881D01* -X21365Y-3882D01* -X21351Y-3882D01* -X21336Y-3883D01* -X21327Y-3884D01* -X21311Y-3884D01* -X21297Y-3885D01* -X21287Y-3886D01* -X21279Y-3886D01* -X21273Y-3887D01* -X21268Y-3888D01* -X21263Y-3889D01* -X21258Y-3890D01* -X21252Y-3892D01* -X21251Y-3893D01* -X21242Y-3895D01* -X21232Y-3897D01* -X21221Y-3899D01* -X21220Y-3899D01* -X21211Y-3901D01* -X21202Y-3903D01* -X21196Y-3905D01* -X21195Y-3906D01* -X21189Y-3908D01* -X21181Y-3911D01* -X21172Y-3913D01* -X21172Y-3913D01* -X21163Y-3916D01* -X21154Y-3919D01* -X21147Y-3922D01* -X21139Y-3925D01* -X21130Y-3928D01* -X21126Y-3929D01* -X21118Y-3932D01* -X21111Y-3935D01* -X21109Y-3937D01* -X21102Y-3941D01* -X21096Y-3944D01* -X21094Y-3944D01* -X21087Y-3946D01* -X21081Y-3950D01* -X21081Y-3950D01* -X21076Y-3953D01* -X21071Y-3954D01* -X21069Y-3954D01* -X21066Y-3953D01* -X21062Y-3954D01* -X21058Y-3957D01* -X21053Y-3962D01* -X21053Y-3962D01* -X21046Y-3967D01* -X21041Y-3971D01* -X21036Y-3973D01* -X21036Y-3973D01* -X21031Y-3976D01* -X21026Y-3979D01* -X21021Y-3983D01* -X21015Y-3987D01* -X21012Y-3988D01* -X21001Y-3994D01* -X20992Y-4000D01* -X20985Y-4005D01* -X20983Y-4007D01* -X20977Y-4012D01* -X20970Y-4016D01* -X20969Y-4017D01* -X20963Y-4021D01* -X20958Y-4025D01* -X20958Y-4026D01* -X20953Y-4029D01* -X20948Y-4033D01* -X20947Y-4033D01* -X20942Y-4036D01* -X20936Y-4041D01* -X20929Y-4047D01* -X20928Y-4048D01* -X20922Y-4054D01* -X20913Y-4062D01* -X20905Y-4070D01* -X20901Y-4073D01* -X20888Y-4086D01* -X20876Y-4097D01* -X20864Y-4109D01* -X20851Y-4123D01* -X20851Y-4124D01* -X20844Y-4131D01* -X20837Y-4138D01* -X20832Y-4144D01* -X20830Y-4146D01* -X20820Y-4157D01* -X20811Y-4170D01* -X20810Y-4172D01* -X20807Y-4177D01* -X20802Y-4183D01* -X20800Y-4185D01* -X20796Y-4190D01* -X20792Y-4196D01* -X20791Y-4197D01* -X20788Y-4202D01* -X20782Y-4207D01* -X20781Y-4208D01* -X20775Y-4213D01* -X20773Y-4217D01* -X20773Y-4219D01* -X20772Y-4225D01* -X20768Y-4232D01* -X20764Y-4237D01* -X20759Y-4245D01* -X20754Y-4253D01* -X20751Y-4260D01* -X20748Y-4267D01* -X20744Y-4273D01* -X20742Y-4276D01* -X20738Y-4281D01* -X20736Y-4288D01* -X20736Y-4289D01* -X20733Y-4295D01* -X20730Y-4302D01* -X20729Y-4303D01* -X20725Y-4309D01* -X20722Y-4316D01* -X20721Y-4318D01* -X20719Y-4326D01* -X20715Y-4333D01* -X20714Y-4335D01* -X20711Y-4341D01* -X20708Y-4349D01* -X20706Y-4357D01* -X20703Y-4365D01* -X20700Y-4372D01* -X20698Y-4378D01* -X20695Y-4383D01* -X20693Y-4391D01* -X20690Y-4399D01* -X20690Y-4400D01* -X20688Y-4409D01* -X20685Y-4418D01* -X20683Y-4424D01* -X20683Y-4424D01* -X20681Y-4430D01* -X20679Y-4438D01* -X20677Y-4448D01* -X20676Y-4453D01* -X20675Y-4463D01* -X20673Y-4472D01* -X20671Y-4480D01* -X20671Y-4483D01* -X20669Y-4490D01* -X20667Y-4498D01* -X20666Y-4508D01* -X20665Y-4519D01* -X20664Y-4533D01* -X20663Y-4550D01* -X20661Y-4569D01* -X20661Y-4582D01* -X20660Y-4602D01* -X20659Y-4620D01* -X20659Y-4636D01* -X20659Y-4650D01* -X20659Y-4650D01* -X20659Y-4650D01* -G37* -G36* -X18767Y-4414D02* -X18767Y-4423D01* -X18767Y-4426D01* -X18768Y-4431D01* -X18769Y-4439D01* -X18770Y-4450D01* -X18770Y-4462D01* -X18771Y-4475D01* -X18771Y-4479D01* -X18772Y-4495D01* -X18773Y-4508D01* -X18773Y-4518D01* -X18774Y-4525D01* -X18775Y-4531D01* -X18776Y-4535D01* -X18777Y-4539D01* -X18779Y-4542D01* -X18779Y-4543D01* -X18781Y-4547D01* -X18784Y-4555D01* -X18786Y-4563D01* -X18787Y-4568D01* -X18789Y-4577D01* -X18792Y-4586D01* -X18794Y-4593D01* -X18795Y-4595D01* -X18797Y-4601D01* -X18800Y-4609D01* -X18801Y-4616D01* -X18803Y-4623D01* -X18805Y-4630D01* -X18807Y-4635D01* -X18810Y-4640D01* -X18813Y-4646D01* -X18816Y-4652D01* -X18819Y-4659D01* -X18822Y-4664D01* -X18826Y-4671D01* -X18830Y-4678D01* -X18836Y-4688D01* -X18837Y-4691D01* -X18841Y-4698D01* -X18844Y-4705D01* -X18846Y-4708D01* -X18849Y-4714D01* -X18853Y-4719D01* -X18853Y-4403D01* -X18854Y-4379D01* -X18856Y-4357D01* -X18859Y-4337D01* -X18860Y-4333D01* -X18863Y-4322D01* -X18865Y-4312D01* -X18866Y-4303D01* -X18866Y-4301D01* -X18868Y-4291D01* -X18869Y-4284D01* -X18872Y-4278D01* -X18875Y-4273D01* -X18878Y-4268D01* -X18880Y-4258D01* -X18881Y-4252D01* -X18882Y-4243D01* -X18884Y-4237D01* -X18886Y-4233D01* -X18888Y-4230D01* -X18892Y-4225D01* -X18895Y-4218D01* -X18895Y-4216D01* -X18898Y-4209D01* -X18902Y-4203D01* -X18903Y-4202D01* -X18907Y-4196D01* -X18910Y-4189D01* -X18911Y-4187D01* -X18914Y-4178D01* -X18919Y-4173D01* -X18922Y-4169D01* -X18925Y-4165D01* -X18925Y-4164D01* -X18926Y-4159D01* -X18930Y-4152D01* -X18935Y-4144D01* -X18943Y-4135D01* -X18951Y-4125D01* -X18960Y-4115D01* -X18963Y-4112D01* -X18967Y-4108D01* -X18969Y-4104D01* -X18970Y-4103D01* -X18971Y-4100D01* -X18975Y-4097D01* -X18977Y-4095D01* -X18981Y-4092D01* -X18983Y-4089D01* -X18984Y-4088D01* -X18985Y-4086D01* -X18989Y-4082D01* -X18993Y-4077D01* -X18998Y-4072D01* -X19002Y-4069D01* -X19005Y-4067D01* -X19005Y-4067D01* -X19007Y-4065D01* -X19011Y-4062D01* -X19012Y-4060D01* -X19016Y-4056D01* -X19019Y-4053D01* -X19020Y-4053D01* -X19022Y-4052D01* -X19027Y-4049D01* -X19029Y-4046D01* -X19040Y-4036D01* -X19049Y-4028D01* -X19055Y-4023D01* -X19061Y-4019D01* -X19066Y-4015D01* -X19066Y-4014D01* -X19072Y-4011D01* -X19078Y-4008D01* -X19080Y-4008D01* -X19086Y-4005D01* -X19091Y-4001D01* -X19091Y-4001D01* -X19097Y-3996D01* -X19104Y-3994D01* -X19111Y-3991D01* -X19118Y-3987D01* -X19119Y-3986D01* -X19124Y-3982D01* -X19131Y-3979D01* -X19133Y-3978D01* -X19140Y-3976D01* -X19146Y-3972D01* -X19147Y-3971D01* -X19151Y-3968D01* -X19155Y-3967D01* -X19161Y-3965D01* -X19170Y-3964D01* -X19180Y-3963D01* -X19186Y-3961D01* -X19192Y-3959D01* -X19195Y-3956D01* -X19199Y-3954D01* -X19204Y-3952D01* -X19209Y-3951D01* -X19217Y-3950D01* -X19225Y-3949D01* -X19236Y-3948D01* -X19246Y-3946D01* -X19256Y-3944D01* -X19260Y-3943D01* -X19274Y-3940D01* -X19291Y-3937D01* -X19309Y-3936D01* -X19329Y-3935D01* -X19347Y-3936D01* -X19355Y-3936D01* -X19367Y-3938D01* -X19378Y-3939D01* -X19388Y-3941D01* -X19396Y-3943D01* -X19396Y-3943D01* -X19404Y-3945D01* -X19414Y-3947D01* -X19426Y-3948D01* -X19431Y-3949D01* -X19441Y-3950D01* -X19449Y-3951D01* -X19454Y-3952D01* -X19458Y-3955D01* -X19462Y-3957D01* -X19467Y-3960D01* -X19471Y-3962D01* -X19473Y-3963D01* -X19484Y-3963D01* -X19493Y-3965D01* -X19502Y-3968D01* -X19508Y-3971D01* -X19514Y-3975D01* -X19521Y-3978D01* -X19522Y-3978D01* -X19528Y-3981D01* -X19534Y-3985D01* -X19534Y-3985D01* -X19539Y-3989D01* -X19546Y-3992D01* -X19549Y-3993D01* -X19557Y-3996D01* -X19562Y-4000D01* -X19562Y-4001D01* -X19567Y-4005D01* -X19574Y-4007D01* -X19581Y-4010D01* -X19589Y-4015D01* -X19589Y-4016D01* -X19594Y-4020D01* -X19599Y-4023D01* -X19600Y-4024D01* -X19604Y-4027D01* -X19606Y-4030D01* -X19608Y-4033D01* -X19613Y-4036D01* -X19614Y-4037D01* -X19619Y-4040D01* -X19622Y-4044D01* -X19622Y-4044D01* -X19626Y-4048D01* -X19631Y-4051D01* -X19632Y-4052D01* -X19636Y-4055D01* -X19639Y-4057D01* -X19639Y-4058D01* -X19642Y-4060D01* -X19646Y-4064D01* -X19649Y-4066D01* -X19655Y-4071D01* -X19663Y-4078D01* -X19671Y-4088D01* -X19678Y-4097D01* -X19680Y-4100D01* -X19684Y-4104D01* -X19688Y-4109D01* -X19689Y-4110D01* -X19693Y-4114D01* -X19695Y-4118D01* -X19695Y-4119D01* -X19697Y-4121D01* -X19700Y-4125D01* -X19702Y-4127D01* -X19706Y-4130D01* -X19709Y-4134D01* -X19709Y-4135D01* -X19711Y-4137D01* -X19714Y-4141D01* -X19715Y-4142D01* -X19720Y-4147D01* -X19724Y-4153D01* -X19724Y-4153D01* -X19728Y-4160D01* -X19732Y-4166D01* -X19732Y-4166D01* -X19736Y-4172D01* -X19738Y-4178D01* -X19738Y-4179D01* -X19741Y-4185D01* -X19745Y-4190D01* -X19746Y-4191D01* -X19749Y-4196D01* -X19752Y-4202D01* -X19753Y-4204D01* -X19756Y-4211D01* -X19760Y-4217D01* -X19760Y-4218D01* -X19764Y-4222D01* -X19766Y-4227D01* -X19768Y-4235D01* -X19768Y-4236D01* -X19771Y-4246D01* -X19774Y-4254D01* -X19775Y-4255D01* -X19778Y-4260D01* -X19780Y-4267D01* -X19782Y-4276D01* -X19783Y-4279D01* -X19784Y-4289D01* -X19786Y-4295D01* -X19788Y-4301D01* -X19791Y-4304D01* -X19796Y-4311D01* -X19798Y-4356D01* -X19799Y-4369D01* -X19799Y-4383D01* -X19800Y-4394D01* -X19800Y-4404D01* -X19800Y-4411D01* -X19800Y-4412D01* -X19800Y-4421D01* -X19800Y-4431D01* -X19799Y-4443D01* -X19799Y-4456D01* -X19798Y-4469D01* -X19797Y-4482D01* -X19797Y-4493D01* -X19796Y-4503D01* -X19795Y-4510D01* -X19795Y-4514D01* -X19795Y-4515D01* -X19792Y-4519D01* -X19789Y-4523D01* -X19787Y-4527D01* -X19784Y-4534D01* -X19783Y-4545D01* -X19783Y-4545D01* -X19781Y-4555D01* -X19779Y-4562D01* -X19777Y-4567D01* -X19775Y-4569D01* -X19770Y-4578D01* -X19769Y-4587D01* -X19767Y-4595D01* -X19762Y-4603D01* -X19762Y-4603D01* -X19758Y-4609D01* -X19754Y-4617D01* -X19753Y-4620D01* -X19750Y-4627D01* -X19746Y-4632D01* -X19746Y-4632D01* -X19741Y-4637D01* -X19740Y-4644D01* -X19736Y-4653D01* -X19732Y-4658D01* -X19728Y-4664D01* -X19724Y-4671D01* -X19723Y-4671D01* -X19721Y-4676D01* -X19719Y-4679D01* -X19719Y-4679D01* -X19717Y-4680D01* -X19714Y-4684D01* -X19711Y-4688D01* -X19706Y-4694D01* -X19701Y-4701D01* -X19699Y-4703D01* -X19694Y-4708D01* -X19689Y-4714D01* -X19686Y-4716D01* -X19678Y-4726D01* -X19671Y-4734D01* -X19666Y-4740D01* -X19661Y-4744D01* -X19658Y-4748D01* -X19654Y-4751D01* -X19650Y-4755D01* -X19645Y-4759D01* -X19638Y-4765D01* -X19634Y-4769D01* -X19627Y-4775D01* -X19619Y-4782D01* -X19615Y-4785D01* -X19608Y-4791D01* -X19601Y-4796D01* -X19597Y-4799D01* -X19591Y-4804D01* -X19585Y-4808D01* -X19583Y-4808D01* -X19578Y-4811D01* -X19574Y-4815D01* -X19573Y-4815D01* -X19570Y-4819D01* -X19563Y-4821D01* -X19562Y-4822D01* -X19553Y-4825D01* -X19547Y-4829D01* -X19540Y-4834D01* -X19532Y-4837D01* -X19524Y-4840D01* -X19519Y-4844D01* -X19517Y-4847D01* -X19515Y-4848D01* -X19510Y-4850D01* -X19503Y-4851D01* -X19497Y-4852D01* -X19491Y-4854D01* -X19485Y-4858D01* -X19484Y-4858D01* -X19479Y-4861D01* -X19474Y-4863D01* -X19466Y-4865D01* -X19461Y-4865D01* -X19452Y-4867D01* -X19444Y-4869D01* -X19438Y-4872D01* -X19436Y-4873D01* -X19426Y-4880D01* -X19384Y-4881D01* -X19368Y-4881D01* -X19351Y-4881D01* -X19332Y-4881D01* -X19313Y-4881D01* -X19294Y-4881D01* -X19277Y-4881D01* -X19262Y-4880D01* -X19249Y-4880D01* -X19242Y-4879D01* -X19234Y-4879D01* -X19228Y-4877D01* -X19223Y-4875D01* -X19222Y-4873D01* -X19218Y-4871D01* -X19212Y-4869D01* -X19205Y-4867D01* -X19198Y-4866D01* -X19188Y-4864D01* -X19180Y-4862D01* -X19174Y-4859D01* -X19173Y-4858D01* -X19165Y-4854D01* -X19155Y-4852D01* -X19153Y-4851D01* -X19145Y-4850D01* -X19139Y-4847D01* -X19134Y-4843D01* -X19128Y-4839D01* -X19121Y-4836D01* -X19113Y-4833D01* -X19108Y-4829D01* -X19103Y-4825D01* -X19096Y-4822D01* -X19095Y-4821D01* -X19088Y-4819D01* -X19081Y-4814D01* -X19080Y-4814D01* -X19075Y-4810D01* -X19069Y-4807D01* -X19068Y-4807D01* -X19064Y-4805D01* -X19059Y-4800D01* -X19058Y-4799D01* -X19054Y-4795D01* -X19051Y-4792D01* -X19050Y-4792D01* -X19047Y-4791D01* -X19043Y-4787D01* -X19042Y-4786D01* -X19037Y-4781D01* -X19031Y-4778D01* -X19031Y-4778D01* -X19027Y-4775D01* -X19025Y-4773D01* -X19025Y-4772D01* -X19024Y-4770D01* -X19020Y-4767D01* -X19017Y-4765D01* -X19010Y-4761D01* -X19004Y-4756D01* -X19002Y-4754D01* -X18997Y-4749D01* -X18991Y-4744D01* -X18991Y-4743D01* -X18983Y-4735D01* -X18973Y-4724D01* -X18962Y-4710D01* -X18956Y-4704D01* -X18950Y-4697D01* -X18946Y-4692D01* -X18942Y-4688D01* -X18939Y-4684D01* -X18938Y-4683D01* -X18937Y-4680D01* -X18934Y-4676D01* -X18932Y-4674D01* -X18927Y-4669D01* -X18925Y-4665D01* -X18925Y-4664D01* -X18923Y-4660D01* -X18920Y-4655D01* -X18918Y-4651D01* -X18914Y-4646D01* -X18911Y-4641D01* -X18911Y-4638D01* -X18910Y-4634D01* -X18906Y-4629D01* -X18905Y-4627D01* -X18900Y-4620D01* -X18897Y-4613D01* -X18896Y-4611D01* -X18893Y-4604D01* -X18889Y-4598D01* -X18888Y-4596D01* -X18885Y-4593D01* -X18883Y-4588D01* -X18882Y-4582D01* -X18881Y-4575D01* -X18880Y-4565D01* -X18878Y-4558D01* -X18875Y-4553D01* -X18874Y-4550D01* -X18870Y-4544D01* -X18868Y-4537D01* -X18867Y-4529D01* -X18867Y-4526D01* -X18865Y-4517D01* -X18863Y-4506D01* -X18861Y-4495D01* -X18860Y-4492D01* -X18857Y-4473D01* -X18854Y-4451D01* -X18853Y-4427D01* -X18853Y-4403D01* -X18853Y-4719D01* -X18853Y-4720D01* -X18854Y-4720D01* -X18859Y-4726D01* -X18863Y-4733D01* -X18864Y-4734D01* -X18867Y-4740D01* -X18872Y-4747D01* -X18874Y-4749D01* -X18879Y-4753D01* -X18882Y-4757D01* -X18883Y-4758D01* -X18885Y-4759D01* -X18887Y-4762D01* -X18890Y-4766D01* -X18895Y-4771D01* -X18902Y-4780D01* -X18911Y-4790D01* -X18914Y-4794D01* -X18921Y-4802D01* -X18929Y-4810D01* -X18937Y-4817D01* -X18938Y-4818D01* -X18945Y-4824D01* -X18952Y-4830D01* -X18958Y-4835D01* -X18959Y-4836D01* -X18965Y-4840D01* -X18970Y-4844D01* -X18972Y-4846D01* -X18977Y-4850D01* -X18980Y-4857D01* -X18980Y-4857D01* -X18982Y-4863D01* -X18985Y-4865D01* -X18989Y-4867D01* -X18991Y-4867D01* -X18999Y-4869D01* -X19006Y-4872D01* -X19010Y-4877D01* -X19011Y-4878D01* -X19013Y-4881D01* -X19018Y-4884D01* -X19024Y-4887D01* -X19032Y-4891D01* -X19041Y-4896D01* -X19050Y-4900D01* -X19059Y-4905D01* -X19068Y-4910D01* -X19076Y-4914D01* -X19083Y-4918D01* -X19089Y-4921D01* -X19093Y-4924D01* -X19097Y-4926D01* -X19103Y-4929D01* -X19109Y-4931D01* -X19117Y-4934D01* -X19125Y-4937D01* -X19129Y-4939D01* -X19136Y-4941D01* -X19145Y-4944D01* -X19153Y-4946D01* -X19162Y-4947D01* -X19170Y-4950D01* -X19176Y-4952D01* -X19182Y-4955D01* -X19190Y-4958D01* -X19196Y-4959D01* -X19221Y-4963D01* -X19243Y-4967D01* -X19262Y-4970D01* -X19279Y-4972D01* -X19294Y-4973D01* -X19300Y-4973D01* -X19311Y-4974D01* -X19321Y-4975D01* -X19330Y-4975D01* -X19337Y-4976D01* -X19338Y-4976D01* -X19345Y-4976D01* -X19350Y-4975D01* -X19352Y-4975D01* -X19357Y-4974D01* -X19364Y-4973D01* -X19366Y-4973D01* -X19372Y-4973D01* -X19381Y-4972D01* -X19393Y-4970D01* -X19409Y-4968D01* -X19428Y-4965D01* -X19451Y-4961D01* -X19460Y-4959D01* -X19468Y-4957D01* -X19477Y-4955D01* -X19481Y-4953D01* -X19488Y-4950D01* -X19496Y-4947D01* -X19503Y-4946D01* -X19513Y-4944D01* -X19523Y-4940D01* -X19529Y-4938D01* -X19536Y-4935D01* -X19544Y-4932D01* -X19548Y-4931D01* -X19555Y-4929D01* -X19562Y-4924D01* -X19563Y-4923D01* -X19569Y-4919D01* -X19575Y-4916D01* -X19577Y-4915D01* -X19582Y-4913D01* -X19588Y-4910D01* -X19590Y-4908D01* -X19596Y-4904D01* -X19603Y-4901D01* -X19605Y-4900D01* -X19612Y-4898D01* -X19618Y-4894D01* -X19618Y-4893D01* -X19624Y-4889D01* -X19630Y-4886D01* -X19631Y-4886D01* -X19634Y-4885D01* -X19638Y-4883D01* -X19642Y-4880D01* -X19648Y-4875D01* -X19656Y-4868D01* -X19660Y-4864D01* -X19667Y-4859D01* -X19675Y-4851D01* -X19686Y-4842D01* -X19696Y-4834D01* -X19707Y-4824D01* -X19717Y-4817D01* -X19724Y-4809D01* -X19732Y-4802D01* -X19739Y-4793D01* -X19748Y-4783D01* -X19751Y-4778D01* -X19757Y-4771D01* -X19763Y-4764D01* -X19767Y-4760D01* -X19778Y-4747D01* -X19786Y-4737D01* -X19792Y-4730D01* -X19797Y-4724D01* -X19800Y-4720D01* -X19801Y-4718D01* -X19802Y-4717D01* -X19802Y-4716D01* -X19803Y-4713D01* -X19805Y-4709D01* -X19808Y-4705D01* -X19812Y-4698D01* -X19816Y-4690D01* -X19818Y-4687D01* -X19821Y-4680D01* -X19824Y-4675D01* -X19825Y-4674D01* -X19828Y-4670D01* -X19831Y-4664D01* -X19831Y-4662D01* -X19834Y-4656D01* -X19838Y-4649D01* -X19840Y-4647D01* -X19845Y-4640D01* -X19848Y-4634D01* -X19848Y-4632D01* -X19850Y-4626D01* -X19852Y-4619D01* -X19855Y-4613D01* -X19858Y-4604D01* -X19861Y-4594D01* -X19863Y-4588D01* -X19864Y-4579D01* -X19867Y-4571D01* -X19869Y-4565D01* -X19872Y-4559D01* -X19874Y-4550D01* -X19876Y-4541D01* -X19877Y-4537D01* -X19880Y-4519D01* -X19883Y-4504D01* -X19885Y-4491D01* -X19886Y-4481D01* -X19888Y-4473D01* -X19888Y-4466D01* -X19889Y-4460D01* -X19889Y-4457D01* -X19890Y-4448D01* -X19891Y-4441D01* -X19893Y-4435D01* -X19893Y-4434D01* -X19895Y-4427D01* -X19894Y-4424D01* -X19893Y-4420D01* -X19893Y-4413D01* -X19892Y-4404D01* -X19891Y-4393D01* -X19890Y-4387D01* -X19889Y-4372D01* -X19888Y-4356D01* -X19886Y-4340D01* -X19884Y-4326D01* -X19883Y-4325D01* -X19881Y-4313D01* -X19880Y-4302D01* -X19878Y-4291D01* -X19877Y-4284D01* -X19876Y-4282D01* -X19874Y-4273D01* -X19872Y-4265D01* -X19870Y-4260D01* -X19867Y-4253D01* -X19864Y-4245D01* -X19863Y-4236D01* -X19861Y-4228D01* -X19859Y-4221D01* -X19857Y-4215D01* -X19857Y-4215D01* -X19854Y-4211D01* -X19852Y-4203D01* -X19849Y-4195D01* -X19848Y-4193D01* -X19845Y-4186D01* -X19842Y-4179D01* -X19840Y-4175D01* -X19840Y-4175D01* -X19837Y-4172D01* -X19834Y-4166D01* -X19831Y-4160D01* -X19827Y-4152D01* -X19822Y-4143D01* -X19817Y-4135D01* -X19813Y-4127D01* -X19808Y-4118D01* -X19805Y-4111D01* -X19805Y-4111D01* -X19801Y-4105D01* -X19798Y-4099D01* -X19796Y-4097D01* -X19792Y-4093D01* -X19788Y-4087D01* -X19787Y-4086D01* -X19784Y-4081D01* -X19780Y-4077D01* -X19779Y-4077D01* -X19775Y-4073D01* -X19773Y-4070D01* -X19772Y-4067D01* -X19769Y-4063D01* -X19765Y-4058D01* -X19759Y-4051D01* -X19753Y-4044D01* -X19748Y-4038D01* -X19742Y-4031D01* -X19738Y-4027D01* -X19728Y-4015D01* -X19716Y-4004D01* -X19705Y-3994D01* -X19694Y-3985D01* -X19690Y-3983D01* -X19688Y-3980D01* -X19685Y-3976D01* -X19683Y-3974D01* -X19676Y-3967D01* -X19669Y-3962D01* -X19668Y-3962D01* -X19661Y-3958D01* -X19654Y-3953D01* -X19652Y-3951D01* -X19644Y-3944D01* -X19636Y-3938D01* -X19628Y-3933D01* -X19622Y-3930D01* -X19621Y-3930D01* -X19613Y-3927D01* -X19605Y-3921D01* -X19598Y-3914D01* -X19597Y-3912D01* -X19594Y-3907D01* -X19591Y-3906D01* -X19589Y-3906D01* -X19583Y-3908D01* -X19579Y-3908D01* -X19575Y-3906D01* -X19574Y-3905D01* -X19569Y-3901D01* -X19564Y-3899D01* -X19563Y-3899D01* -X19559Y-3897D01* -X19553Y-3893D01* -X19551Y-3892D01* -X19545Y-3889D01* -X19536Y-3886D01* -X19527Y-3884D01* -X19518Y-3882D01* -X19510Y-3879D01* -X19504Y-3877D01* -X19502Y-3876D01* -X19497Y-3874D01* -X19491Y-3872D01* -X19482Y-3870D01* -X19479Y-3869D01* -X19470Y-3867D01* -X19462Y-3865D01* -X19456Y-3863D01* -X19455Y-3862D01* -X19451Y-3860D01* -X19448Y-3859D01* -X19443Y-3858D01* -X19435Y-3857D01* -X19425Y-3856D01* -X19417Y-3856D01* -X19403Y-3855D01* -X19387Y-3854D01* -X19371Y-3853D01* -X19356Y-3852D01* -X19354Y-3852D01* -X19343Y-3851D01* -X19333Y-3851D01* -X19325Y-3851D01* -X19320Y-3851D01* -X19319Y-3851D01* -X19316Y-3851D01* -X19311Y-3852D01* -X19302Y-3852D01* -X19291Y-3853D01* -X19278Y-3854D01* -X19266Y-3854D01* -X19251Y-3855D01* -X19239Y-3855D01* -X19229Y-3856D01* -X19222Y-3857D01* -X19217Y-3857D01* -X19212Y-3858D01* -X19209Y-3859D01* -X19205Y-3861D01* -X19202Y-3862D01* -X19193Y-3866D01* -X19182Y-3869D01* -X19173Y-3871D01* -X19165Y-3873D01* -X19158Y-3875D01* -X19153Y-3877D01* -X19152Y-3877D01* -X19148Y-3879D01* -X19141Y-3881D01* -X19132Y-3883D01* -X19128Y-3884D01* -X19116Y-3887D01* -X19108Y-3889D01* -X19105Y-3892D01* -X19100Y-3895D01* -X19094Y-3898D01* -X19090Y-3900D01* -X19083Y-3903D01* -X19076Y-3906D01* -X19074Y-3908D01* -X19068Y-3912D01* -X19062Y-3915D01* -X19062Y-3915D01* -X19055Y-3917D01* -X19049Y-3921D01* -X19048Y-3922D01* -X19042Y-3926D01* -X19036Y-3929D01* -X19035Y-3929D01* -X19028Y-3932D01* -X19022Y-3936D01* -X19022Y-3936D01* -X19017Y-3939D01* -X19014Y-3941D01* -X19014Y-3941D01* -X19011Y-3942D01* -X19007Y-3945D01* -X19004Y-3948D01* -X18998Y-3953D01* -X18991Y-3960D01* -X18985Y-3964D01* -X18978Y-3970D01* -X18971Y-3976D01* -X18966Y-3981D01* -X18962Y-3985D01* -X18958Y-3988D01* -X18956Y-3988D01* -X18954Y-3989D01* -X18949Y-3993D01* -X18943Y-3999D01* -X18936Y-4005D01* -X18928Y-4013D01* -X18921Y-4020D01* -X18915Y-4027D01* -X18910Y-4033D01* -X18906Y-4037D01* -X18906Y-4038D01* -X18904Y-4042D01* -X18900Y-4047D01* -X18897Y-4049D01* -X18892Y-4055D01* -X18886Y-4062D01* -X18881Y-4068D01* -X18876Y-4075D01* -X18870Y-4081D01* -X18866Y-4086D01* -X18862Y-4090D01* -X18860Y-4094D01* -X18860Y-4095D01* -X18859Y-4097D01* -X18856Y-4101D01* -X18855Y-4102D01* -X18851Y-4108D01* -X18847Y-4115D01* -X18847Y-4116D01* -X18844Y-4123D01* -X18840Y-4130D01* -X18839Y-4131D01* -X18835Y-4137D01* -X18832Y-4143D01* -X18832Y-4144D01* -X18830Y-4150D01* -X18826Y-4156D01* -X18824Y-4158D01* -X18821Y-4164D01* -X18818Y-4170D01* -X18817Y-4172D01* -X18815Y-4177D01* -X18812Y-4183D01* -X18810Y-4185D01* -X18806Y-4192D01* -X18804Y-4199D01* -X18801Y-4209D01* -X18799Y-4218D01* -X18795Y-4229D01* -X18792Y-4240D01* -X18791Y-4241D01* -X18787Y-4250D01* -X18785Y-4256D01* -X18784Y-4262D01* -X18784Y-4265D01* -X18784Y-4271D01* -X18783Y-4277D01* -X18780Y-4283D01* -X18778Y-4286D01* -X18776Y-4290D01* -X18775Y-4294D01* -X18774Y-4299D01* -X18774Y-4307D01* -X18773Y-4317D01* -X18773Y-4326D01* -X18772Y-4340D01* -X18771Y-4355D01* -X18770Y-4371D01* -X18769Y-4384D01* -X18768Y-4388D01* -X18767Y-4403D01* -X18767Y-4414D01* -X18767Y-4414D01* -X18767Y-4414D01* -G37* -G36* -X17368Y-4411D02* -X17368Y-4426D01* -X17368Y-4439D01* -X17369Y-4451D01* -X17369Y-4457D01* -X17371Y-4464D01* -X17372Y-4475D01* -X17374Y-4487D01* -X17376Y-4501D01* -X17378Y-4515D01* -X17379Y-4528D01* -X17380Y-4533D01* -X17382Y-4544D01* -X17385Y-4555D01* -X17387Y-4563D01* -X17391Y-4572D01* -X17393Y-4582D01* -X17395Y-4590D01* -X17397Y-4600D01* -X17400Y-4609D01* -X17402Y-4613D01* -X17405Y-4619D01* -X17407Y-4625D01* -X17407Y-4626D01* -X17408Y-4631D01* -X17410Y-4637D01* -X17412Y-4640D01* -X17416Y-4647D01* -X17420Y-4655D01* -X17422Y-4659D01* -X17425Y-4666D01* -X17428Y-4671D01* -X17430Y-4673D01* -X17432Y-4677D01* -X17435Y-4683D01* -X17435Y-4686D01* -X17438Y-4693D01* -X17443Y-4700D01* -X17445Y-4704D01* -X17450Y-4709D01* -X17453Y-4715D01* -X17455Y-4718D01* -X17457Y-4722D01* -X17459Y-4726D01* -X17459Y-4415D01* -X17459Y-4396D01* -X17459Y-4378D01* -X17460Y-4362D01* -X17460Y-4354D01* -X17460Y-4340D01* -X17461Y-4329D01* -X17461Y-4321D01* -X17462Y-4316D01* -X17463Y-4312D01* -X17464Y-4309D01* -X17465Y-4307D01* -X17467Y-4305D01* -X17471Y-4297D01* -X17473Y-4290D01* -X17475Y-4277D01* -X17477Y-4267D01* -X17479Y-4260D01* -X17482Y-4254D01* -X17483Y-4253D01* -X17487Y-4245D01* -X17489Y-4235D01* -X17490Y-4226D01* -X17493Y-4221D01* -X17496Y-4217D01* -X17500Y-4212D01* -X17503Y-4205D01* -X17504Y-4202D01* -X17507Y-4195D01* -X17511Y-4190D01* -X17512Y-4189D01* -X17517Y-4183D01* -X17519Y-4177D01* -X17521Y-4170D01* -X17525Y-4164D01* -X17525Y-4163D01* -X17530Y-4157D01* -X17533Y-4151D01* -X17533Y-4150D01* -X17537Y-4144D01* -X17541Y-4141D01* -X17545Y-4137D01* -X17548Y-4133D01* -X17550Y-4129D01* -X17554Y-4124D01* -X17556Y-4123D01* -X17560Y-4118D01* -X17566Y-4111D01* -X17569Y-4106D01* -X17581Y-4092D01* -X17591Y-4080D01* -X17600Y-4071D01* -X17610Y-4063D01* -X17620Y-4055D01* -X17624Y-4052D01* -X17629Y-4048D01* -X17633Y-4044D01* -X17634Y-4044D01* -X17638Y-4040D01* -X17641Y-4037D01* -X17646Y-4034D01* -X17651Y-4030D01* -X17652Y-4029D01* -X17657Y-4024D01* -X17663Y-4018D01* -X17665Y-4017D01* -X17672Y-4012D01* -X17679Y-4008D01* -X17681Y-4008D01* -X17688Y-4005D01* -X17694Y-4001D01* -X17695Y-4000D01* -X17701Y-3996D01* -X17707Y-3993D01* -X17708Y-3993D01* -X17714Y-3991D01* -X17720Y-3987D01* -X17721Y-3986D01* -X17727Y-3982D01* -X17734Y-3979D01* -X17734Y-3979D01* -X17741Y-3976D01* -X17748Y-3972D01* -X17749Y-3971D01* -X17754Y-3968D01* -X17760Y-3966D01* -X17768Y-3965D01* -X17772Y-3964D01* -X17782Y-3963D01* -X17789Y-3961D01* -X17795Y-3957D01* -X17797Y-3957D01* -X17802Y-3954D01* -X17807Y-3952D01* -X17813Y-3950D01* -X17822Y-3949D01* -X17826Y-3949D01* -X17838Y-3947D01* -X17850Y-3945D01* -X17863Y-3943D01* -X17866Y-3942D01* -X17886Y-3938D01* -X17907Y-3936D01* -X17930Y-3935D01* -X17952Y-3936D01* -X17974Y-3938D01* -X17993Y-3941D01* -X17998Y-3943D01* -X18007Y-3945D01* -X18018Y-3947D01* -X18029Y-3948D01* -X18032Y-3949D01* -X18043Y-3950D01* -X18050Y-3951D01* -X18055Y-3952D01* -X18060Y-3954D01* -X18063Y-3956D01* -X18065Y-3958D01* -X18069Y-3960D01* -X18075Y-3962D01* -X18083Y-3963D01* -X18086Y-3964D01* -X18095Y-3965D01* -X18101Y-3966D01* -X18105Y-3968D01* -X18109Y-3971D01* -X18109Y-3971D01* -X18115Y-3975D01* -X18121Y-3977D01* -X18122Y-3978D01* -X18131Y-3980D01* -X18137Y-3984D01* -X18140Y-3987D01* -X18145Y-3991D01* -X18152Y-3993D01* -X18160Y-3996D01* -X18167Y-4001D01* -X18172Y-4005D01* -X18178Y-4008D01* -X18180Y-4009D01* -X18185Y-4010D01* -X18188Y-4012D01* -X18188Y-4012D01* -X18190Y-4014D01* -X18194Y-4018D01* -X18197Y-4020D01* -X18217Y-4036D01* -X18228Y-4046D01* -X18232Y-4050D01* -X18236Y-4052D01* -X18237Y-4053D01* -X18239Y-4054D01* -X18243Y-4058D01* -X18244Y-4060D01* -X18248Y-4064D01* -X18251Y-4067D01* -X18252Y-4067D01* -X18254Y-4068D01* -X18258Y-4072D01* -X18264Y-4078D01* -X18271Y-4086D01* -X18279Y-4094D01* -X18281Y-4096D01* -X18290Y-4107D01* -X18298Y-4116D01* -X18304Y-4123D01* -X18308Y-4128D01* -X18313Y-4134D01* -X18318Y-4141D01* -X18320Y-4144D01* -X18324Y-4149D01* -X18327Y-4154D01* -X18328Y-4154D01* -X18331Y-4159D01* -X18333Y-4164D01* -X18335Y-4170D01* -X18339Y-4175D01* -X18340Y-4176D01* -X18345Y-4182D01* -X18347Y-4188D01* -X18347Y-4189D01* -X18349Y-4195D01* -X18353Y-4201D01* -X18355Y-4203D01* -X18360Y-4210D01* -X18363Y-4218D01* -X18366Y-4226D01* -X18370Y-4231D01* -X18373Y-4236D01* -X18376Y-4241D01* -X18377Y-4248D01* -X18378Y-4257D01* -X18379Y-4264D01* -X18380Y-4269D01* -X18383Y-4273D01* -X18385Y-4275D01* -X18387Y-4279D01* -X18389Y-4285D01* -X18391Y-4293D01* -X18392Y-4298D01* -X18393Y-4307D01* -X18395Y-4316D01* -X18397Y-4322D01* -X18397Y-4323D01* -X18400Y-4329D01* -X18402Y-4337D01* -X18403Y-4344D01* -X18404Y-4357D01* -X18405Y-4372D01* -X18406Y-4390D01* -X18406Y-4409D01* -X18406Y-4427D01* -X18406Y-4444D01* -X18405Y-4452D01* -X18404Y-4465D01* -X18403Y-4475D01* -X18402Y-4484D01* -X18400Y-4491D01* -X18398Y-4499D01* -X18397Y-4502D01* -X18395Y-4510D01* -X18393Y-4519D01* -X18391Y-4525D01* -X18391Y-4527D01* -X18390Y-4538D01* -X18387Y-4547D01* -X18383Y-4552D01* -X18381Y-4557D01* -X18378Y-4565D01* -X18377Y-4576D01* -X18376Y-4587D01* -X18374Y-4589D01* -X18371Y-4593D01* -X18370Y-4595D01* -X18366Y-4601D01* -X18362Y-4608D01* -X18362Y-4609D01* -X18359Y-4615D01* -X18356Y-4621D01* -X18355Y-4622D01* -X18351Y-4627D01* -X18348Y-4634D01* -X18348Y-4635D01* -X18345Y-4642D01* -X18341Y-4648D01* -X18340Y-4649D01* -X18336Y-4655D01* -X18333Y-4661D01* -X18333Y-4662D01* -X18330Y-4670D01* -X18325Y-4674D01* -X18321Y-4678D01* -X18319Y-4681D01* -X18318Y-4682D01* -X18317Y-4685D01* -X18313Y-4688D01* -X18312Y-4690D01* -X18307Y-4694D01* -X18305Y-4697D01* -X18305Y-4698D01* -X18303Y-4701D01* -X18300Y-4705D01* -X18297Y-4708D01* -X18292Y-4713D01* -X18286Y-4720D01* -X18281Y-4726D01* -X18272Y-4737D01* -X18263Y-4747D01* -X18253Y-4756D01* -X18245Y-4762D01* -X18243Y-4764D01* -X18237Y-4768D01* -X18233Y-4771D01* -X18232Y-4772D01* -X18229Y-4776D01* -X18224Y-4778D01* -X18220Y-4781D01* -X18217Y-4784D01* -X18217Y-4785D01* -X18215Y-4788D01* -X18210Y-4791D01* -X18208Y-4792D01* -X18203Y-4795D01* -X18200Y-4799D01* -X18199Y-4800D01* -X18197Y-4803D01* -X18192Y-4806D01* -X18190Y-4807D01* -X18183Y-4810D01* -X18178Y-4814D01* -X18177Y-4815D01* -X18172Y-4818D01* -X18165Y-4821D01* -X18164Y-4821D01* -X18157Y-4824D01* -X18151Y-4829D01* -X18150Y-4829D01* -X18143Y-4834D01* -X18137Y-4836D01* -X18129Y-4839D01* -X18124Y-4843D01* -X18120Y-4847D01* -X18115Y-4849D01* -X18107Y-4851D01* -X18106Y-4851D01* -X18093Y-4855D01* -X18085Y-4859D01* -X18079Y-4862D01* -X18073Y-4864D01* -X18066Y-4865D01* -X18061Y-4866D01* -X18052Y-4867D01* -X18046Y-4868D01* -X18042Y-4870D01* -X18038Y-4873D01* -X18033Y-4876D01* -X18029Y-4879D01* -X18028Y-4879D01* -X18021Y-4880D01* -X18011Y-4880D01* -X17998Y-4880D01* -X17983Y-4881D01* -X17966Y-4881D01* -X17948Y-4881D01* -X17930Y-4881D01* -X17911Y-4881D01* -X17894Y-4881D01* -X17878Y-4881D01* -X17864Y-4880D01* -X17852Y-4880D01* -X17843Y-4880D01* -X17843Y-4879D01* -X17833Y-4878D01* -X17824Y-4874D01* -X17822Y-4873D01* -X17816Y-4869D01* -X17809Y-4867D01* -X17799Y-4866D01* -X17797Y-4865D01* -X17788Y-4864D01* -X17782Y-4862D01* -X17776Y-4859D01* -X17774Y-4858D01* -X17767Y-4854D01* -X17757Y-4852D01* -X17756Y-4851D01* -X17747Y-4850D01* -X17742Y-4847D01* -X17738Y-4845D01* -X17732Y-4840D01* -X17726Y-4837D01* -X17725Y-4837D01* -X17718Y-4834D01* -X17712Y-4830D01* -X17711Y-4829D01* -X17705Y-4825D01* -X17698Y-4822D01* -X17696Y-4822D01* -X17689Y-4819D01* -X17684Y-4815D01* -X17679Y-4811D01* -X17673Y-4808D01* -X17673Y-4808D01* -X17667Y-4805D01* -X17661Y-4801D01* -X17657Y-4798D01* -X17651Y-4793D01* -X17644Y-4787D01* -X17639Y-4783D01* -X17632Y-4777D01* -X17626Y-4772D01* -X17622Y-4768D01* -X17612Y-4760D01* -X17604Y-4753D01* -X17599Y-4748D01* -X17594Y-4744D01* -X17591Y-4741D01* -X17588Y-4738D01* -X17585Y-4734D01* -X17584Y-4733D01* -X17578Y-4727D01* -X17572Y-4720D01* -X17570Y-4718D01* -X17566Y-4714D01* -X17563Y-4710D01* -X17563Y-4709D01* -X17561Y-4708D01* -X17558Y-4704D01* -X17553Y-4699D01* -X17550Y-4695D01* -X17543Y-4688D01* -X17538Y-4682D01* -X17534Y-4676D01* -X17533Y-4674D01* -X17530Y-4668D01* -X17526Y-4663D01* -X17525Y-4662D01* -X17522Y-4658D01* -X17519Y-4652D01* -X17518Y-4649D01* -X17516Y-4643D01* -X17513Y-4638D01* -X17511Y-4637D01* -X17509Y-4633D01* -X17506Y-4627D01* -X17505Y-4624D01* -X17502Y-4618D01* -X17500Y-4613D01* -X17498Y-4612D01* -X17494Y-4605D01* -X17490Y-4596D01* -X17489Y-4589D01* -X17486Y-4579D01* -X17482Y-4570D01* -X17479Y-4564D01* -X17476Y-4559D01* -X17475Y-4551D01* -X17474Y-4544D01* -X17473Y-4534D01* -X17471Y-4527D01* -X17469Y-4524D01* -X17467Y-4521D01* -X17465Y-4517D01* -X17464Y-4513D01* -X17462Y-4508D01* -X17461Y-4501D01* -X17461Y-4491D01* -X17460Y-4479D01* -X17460Y-4463D01* -X17459Y-4452D01* -X17459Y-4434D01* -X17459Y-4415D01* -X17459Y-4726D01* -X17461Y-4728D01* -X17466Y-4735D01* -X17470Y-4740D01* -X17480Y-4751D01* -X17487Y-4759D01* -X17492Y-4765D01* -X17495Y-4769D01* -X17498Y-4772D01* -X17499Y-4774D01* -X17500Y-4776D01* -X17500Y-4776D01* -X17503Y-4780D01* -X17506Y-4782D01* -X17511Y-4786D01* -X17513Y-4790D01* -X17517Y-4795D01* -X17522Y-4802D01* -X17529Y-4810D01* -X17536Y-4817D01* -X17543Y-4822D01* -X17546Y-4824D01* -X17552Y-4828D01* -X17559Y-4833D01* -X17560Y-4834D01* -X17565Y-4839D01* -X17571Y-4844D01* -X17577Y-4849D01* -X17584Y-4855D01* -X17592Y-4861D01* -X17601Y-4868D01* -X17604Y-4871D01* -X17611Y-4877D01* -X17617Y-4882D01* -X17623Y-4885D01* -X17625Y-4886D01* -X17630Y-4888D01* -X17636Y-4891D01* -X17638Y-4893D01* -X17644Y-4897D01* -X17651Y-4900D01* -X17652Y-4900D01* -X17659Y-4903D01* -X17664Y-4907D01* -X17665Y-4907D01* -X17670Y-4911D01* -X17676Y-4914D01* -X17680Y-4915D01* -X17686Y-4918D01* -X17692Y-4921D01* -X17694Y-4922D01* -X17700Y-4927D01* -X17707Y-4931D01* -X17712Y-4932D01* -X17716Y-4933D01* -X17723Y-4935D01* -X17731Y-4938D01* -X17739Y-4942D01* -X17746Y-4946D01* -X17750Y-4947D01* -X17756Y-4947D01* -X17760Y-4948D01* -X17770Y-4949D01* -X17778Y-4952D01* -X17784Y-4955D01* -X17793Y-4958D01* -X17805Y-4960D01* -X17813Y-4961D01* -X17824Y-4963D01* -X17836Y-4965D01* -X17847Y-4967D01* -X17855Y-4968D01* -X17862Y-4970D01* -X17873Y-4971D01* -X17885Y-4972D01* -X17898Y-4973D01* -X17911Y-4974D01* -X17923Y-4975D01* -X17933Y-4975D01* -X17939Y-4975D01* -X17943Y-4975D01* -X17951Y-4974D01* -X17960Y-4974D01* -X17967Y-4973D01* -X17979Y-4972D01* -X17992Y-4971D01* -X18005Y-4969D01* -X18012Y-4968D01* -X18024Y-4966D01* -X18037Y-4964D01* -X18049Y-4962D01* -X18055Y-4960D01* -X18066Y-4959D01* -X18075Y-4956D01* -X18081Y-4954D01* -X18082Y-4953D01* -X18086Y-4951D01* -X18094Y-4949D01* -X18103Y-4946D01* -X18106Y-4946D01* -X18115Y-4943D01* -X18125Y-4941D01* -X18132Y-4938D01* -X18134Y-4937D01* -X18141Y-4934D01* -X18149Y-4931D01* -X18153Y-4930D01* -X18159Y-4927D01* -X18166Y-4924D01* -X18167Y-4923D01* -X18172Y-4919D01* -X18179Y-4916D01* -X18181Y-4915D01* -X18187Y-4912D01* -X18192Y-4909D01* -X18193Y-4908D01* -X18197Y-4906D01* -X18203Y-4902D01* -X18208Y-4900D01* -X18220Y-4894D01* -X18229Y-4889D01* -X18236Y-4885D01* -X18241Y-4882D01* -X18244Y-4879D01* -X18246Y-4877D01* -X18250Y-4873D01* -X18254Y-4871D01* -X18255Y-4871D01* -X18257Y-4869D01* -X18261Y-4866D01* -X18264Y-4863D01* -X18268Y-4859D01* -X18272Y-4856D01* -X18273Y-4855D01* -X18275Y-4854D01* -X18280Y-4850D01* -X18286Y-4845D01* -X18294Y-4839D01* -X18303Y-4831D01* -X18311Y-4823D01* -X18320Y-4816D01* -X18327Y-4809D01* -X18334Y-4803D01* -X18338Y-4798D01* -X18340Y-4796D01* -X18344Y-4791D01* -X18349Y-4785D01* -X18350Y-4785D01* -X18354Y-4779D01* -X18358Y-4774D01* -X18358Y-4774D01* -X18363Y-4769D01* -X18370Y-4766D01* -X18375Y-4765D01* -X18377Y-4762D01* -X18377Y-4759D01* -X18379Y-4752D01* -X18382Y-4744D01* -X18387Y-4738D01* -X18388Y-4738D01* -X18393Y-4734D01* -X18397Y-4728D01* -X18397Y-4727D01* -X18401Y-4722D01* -X18404Y-4717D01* -X18405Y-4716D01* -X18408Y-4712D01* -X18411Y-4707D01* -X18411Y-4705D01* -X18415Y-4697D01* -X18420Y-4688D01* -X18422Y-4687D01* -X18428Y-4678D01* -X18431Y-4670D01* -X18433Y-4664D01* -X18435Y-4660D01* -X18436Y-4659D01* -X18438Y-4656D01* -X18441Y-4651D01* -X18445Y-4644D01* -X18449Y-4637D01* -X18454Y-4629D01* -X18457Y-4625D01* -X18461Y-4621D01* -X18463Y-4618D01* -X18462Y-4615D01* -X18461Y-4614D01* -X18459Y-4609D01* -X18459Y-4604D01* -X18461Y-4598D01* -X18464Y-4590D01* -X18467Y-4584D01* -X18469Y-4578D01* -X18470Y-4575D01* -X18471Y-4567D01* -X18473Y-4557D01* -X18477Y-4547D01* -X18479Y-4542D01* -X18484Y-4529D01* -X18487Y-4518D01* -X18487Y-4509D01* -X18487Y-4504D01* -X18487Y-4496D01* -X18487Y-4485D01* -X18488Y-4473D01* -X18489Y-4461D01* -X18490Y-4449D01* -X18491Y-4438D01* -X18492Y-4429D01* -X18492Y-4428D01* -X18494Y-4420D01* -X18494Y-4414D01* -X18494Y-4407D01* -X18493Y-4398D01* -X18492Y-4396D01* -X18491Y-4389D01* -X18490Y-4378D01* -X18489Y-4366D01* -X18488Y-4352D01* -X18487Y-4338D01* -X18487Y-4336D01* -X18486Y-4322D01* -X18485Y-4311D01* -X18484Y-4302D01* -X18483Y-4296D01* -X18482Y-4291D01* -X18481Y-4287D01* -X18480Y-4284D01* -X18479Y-4283D01* -X18476Y-4276D01* -X18473Y-4267D01* -X18471Y-4257D01* -X18471Y-4256D01* -X18469Y-4248D01* -X18467Y-4239D01* -X18465Y-4234D01* -X18464Y-4233D01* -X18462Y-4227D01* -X18459Y-4220D01* -X18457Y-4211D01* -X18457Y-4210D01* -X18455Y-4199D01* -X18451Y-4191D01* -X18449Y-4187D01* -X18444Y-4180D01* -X18441Y-4173D01* -X18441Y-4172D01* -X18438Y-4166D01* -X18435Y-4161D01* -X18435Y-4160D01* -X18432Y-4156D01* -X18428Y-4150D01* -X18426Y-4146D01* -X18419Y-4131D01* -X18412Y-4120D01* -X18407Y-4110D01* -X18404Y-4105D01* -X18401Y-4101D01* -X18399Y-4098D01* -X18399Y-4097D01* -X18398Y-4095D01* -X18395Y-4091D01* -X18392Y-4087D01* -X18387Y-4082D01* -X18381Y-4074D01* -X18375Y-4067D01* -X18375Y-4067D01* -X18369Y-4060D01* -X18362Y-4053D01* -X18357Y-4047D01* -X18357Y-4047D01* -X18353Y-4042D01* -X18350Y-4038D01* -X18350Y-4037D01* -X18349Y-4033D01* -X18349Y-4028D01* -X18349Y-4027D01* -X18349Y-4023D01* -X18347Y-4021D01* -X18342Y-4020D01* -X18335Y-4018D01* -X18330Y-4014D01* -X18325Y-4009D01* -X18323Y-4005D01* -X18319Y-3999D01* -X18315Y-3995D01* -X18309Y-3992D01* -X18308Y-3992D01* -X18301Y-3988D01* -X18293Y-3983D01* -X18291Y-3981D01* -X18286Y-3976D01* -X18279Y-3969D01* -X18271Y-3964D01* -X18271Y-3963D01* -X18265Y-3958D01* -X18259Y-3953D01* -X18255Y-3949D01* -X18255Y-3949D01* -X18247Y-3943D01* -X18235Y-3936D01* -X18224Y-3930D01* -X18217Y-3927D01* -X18212Y-3923D01* -X18209Y-3921D01* -X18205Y-3919D01* -X18199Y-3916D01* -X18196Y-3915D01* -X18190Y-3912D01* -X18185Y-3909D01* -X18184Y-3907D01* -X18180Y-3904D01* -X18174Y-3902D01* -X18170Y-3900D01* -X18163Y-3898D01* -X18157Y-3894D01* -X18155Y-3893D01* -X18151Y-3890D01* -X18145Y-3887D01* -X18136Y-3885D01* -X18133Y-3885D01* -X18119Y-3881D01* -X18104Y-3876D01* -X18101Y-3874D01* -X18092Y-3870D01* -X18086Y-3868D01* -X18081Y-3867D01* -X18077Y-3867D01* -X18072Y-3868D01* -X18066Y-3866D01* -X18058Y-3863D01* -X18057Y-3863D01* -X18053Y-3861D01* -X18049Y-3859D01* -X18045Y-3858D01* -X18041Y-3857D01* -X18035Y-3856D01* -X18027Y-3856D01* -X18017Y-3855D01* -X18004Y-3855D01* -X17994Y-3854D01* -X17980Y-3854D01* -X17968Y-3853D01* -X17957Y-3852D01* -X17949Y-3852D01* -X17944Y-3851D01* -X17942Y-3851D01* -X17940Y-3851D01* -X17934Y-3851D01* -X17925Y-3851D01* -X17915Y-3851D01* -X17905Y-3852D01* -X17891Y-3853D01* -X17876Y-3854D01* -X17860Y-3855D01* -X17847Y-3856D01* -X17842Y-3856D01* -X17830Y-3857D01* -X17822Y-3857D01* -X17815Y-3858D01* -X17810Y-3860D01* -X17805Y-3862D01* -X17804Y-3862D01* -X17797Y-3865D01* -X17788Y-3868D01* -X17779Y-3870D01* -X17778Y-3870D01* -X17770Y-3871D01* -X17762Y-3874D01* -X17756Y-3876D01* -X17756Y-3876D01* -X17750Y-3879D01* -X17743Y-3881D01* -X17734Y-3883D01* -X17731Y-3884D01* -X17720Y-3887D01* -X17711Y-3890D01* -X17706Y-3892D01* -X17701Y-3896D01* -X17697Y-3897D01* -X17696Y-3898D01* -X17693Y-3899D01* -X17688Y-3902D01* -X17686Y-3903D01* -X17679Y-3908D01* -X17674Y-3909D01* -X17669Y-3908D01* -X17669Y-3907D01* -X17667Y-3907D01* -X17663Y-3910D01* -X17657Y-3915D01* -X17656Y-3916D01* -X17648Y-3924D01* -X17641Y-3928D01* -X17638Y-3929D01* -X17632Y-3931D01* -X17625Y-3935D01* -X17621Y-3938D01* -X17616Y-3942D01* -X17612Y-3944D01* -X17610Y-3945D01* -X17608Y-3946D01* -X17604Y-3950D01* -X17603Y-3952D01* -X17599Y-3956D01* -X17596Y-3958D01* -X17595Y-3959D01* -X17593Y-3960D01* -X17588Y-3963D01* -X17581Y-3968D01* -X17574Y-3975D01* -X17566Y-3981D01* -X17558Y-3989D01* -X17550Y-3995D01* -X17544Y-4000D01* -X17540Y-4003D01* -X17539Y-4004D01* -X17537Y-4005D01* -X17534Y-4009D01* -X17529Y-4014D01* -X17525Y-4019D01* -X17515Y-4030D01* -X17508Y-4038D01* -X17502Y-4045D01* -X17498Y-4050D01* -X17495Y-4053D01* -X17492Y-4056D01* -X17491Y-4057D01* -X17487Y-4062D01* -X17484Y-4068D01* -X17484Y-4068D01* -X17481Y-4073D01* -X17477Y-4076D01* -X17473Y-4080D01* -X17469Y-4085D01* -X17469Y-4085D01* -X17466Y-4090D01* -X17463Y-4094D01* -X17462Y-4094D01* -X17459Y-4097D01* -X17456Y-4102D01* -X17452Y-4109D01* -X17446Y-4122D01* -X17440Y-4133D01* -X17436Y-4141D01* -X17433Y-4146D01* -X17431Y-4148D01* -X17429Y-4151D01* -X17427Y-4157D01* -X17426Y-4159D01* -X17423Y-4166D01* -X17419Y-4172D01* -X17418Y-4173D01* -X17415Y-4177D01* -X17412Y-4184D01* -X17409Y-4192D01* -X17409Y-4193D01* -X17407Y-4201D01* -X17404Y-4209D01* -X17401Y-4214D01* -X17401Y-4215D01* -X17399Y-4220D01* -X17397Y-4228D01* -X17395Y-4236D01* -X17394Y-4239D01* -X17393Y-4247D01* -X17391Y-4255D01* -X17389Y-4260D01* -X17388Y-4261D01* -X17385Y-4268D01* -X17382Y-4276D01* -X17379Y-4284D01* -X17378Y-4291D01* -X17378Y-4293D01* -X17379Y-4298D01* -X17378Y-4306D01* -X17377Y-4316D01* -X17376Y-4327D01* -X17374Y-4340D01* -X17372Y-4352D01* -X17371Y-4362D01* -X17369Y-4371D01* -X17368Y-4383D01* -X17368Y-4397D01* -X17368Y-4411D01* -X17368Y-4411D01* -X17368Y-4411D01* -G37* -G36* -X21439Y-4505D02* -X21441Y-4508D01* -X21445Y-4513D01* -X21451Y-4517D01* -X21457Y-4520D01* -X21461Y-4521D01* -X21468Y-4523D01* -X21476Y-4528D01* -X21477Y-4528D01* -X21483Y-4533D01* -X21489Y-4536D01* -X21492Y-4536D01* -X21498Y-4539D01* -X21505Y-4543D01* -X21505Y-4543D01* -X21511Y-4548D01* -X21518Y-4550D01* -X21524Y-4551D01* -X21529Y-4549D01* -X21531Y-4546D01* -X21532Y-4541D01* -X21534Y-4537D01* -X21539Y-4531D01* -X21545Y-4524D01* -X21552Y-4518D01* -X21559Y-4512D01* -X21564Y-4508D01* -X21568Y-4507D01* -X21573Y-4504D01* -X21579Y-4501D01* -X21581Y-4499D01* -X21587Y-4493D01* -X21612Y-4493D01* -X21623Y-4493D01* -X21630Y-4493D01* -X21636Y-4494D01* -X21640Y-4495D01* -X21645Y-4497D01* -X21650Y-4499D01* -X21657Y-4502D01* -X21663Y-4505D01* -X21667Y-4507D01* -X21671Y-4509D01* -X21675Y-4513D01* -X21675Y-4514D01* -X21679Y-4517D01* -X21682Y-4519D01* -X21682Y-4520D01* -X21685Y-4521D01* -X21689Y-4524D01* -X21693Y-4527D01* -X21699Y-4534D01* -X21702Y-4541D01* -X21702Y-4543D01* -X21705Y-4551D01* -X21709Y-4558D01* -X21712Y-4561D01* -X21714Y-4564D01* -X21715Y-4568D01* -X21716Y-4573D01* -X21716Y-4580D01* -X21717Y-4590D01* -X21717Y-4591D01* -X21718Y-4601D01* -X21719Y-4612D01* -X21720Y-4620D01* -X21721Y-4626D01* -X21722Y-4634D01* -X21722Y-4641D01* -X21721Y-4648D01* -X21720Y-4655D01* -X21719Y-4665D01* -X21718Y-4675D01* -X21717Y-4685D01* -X21716Y-4695D01* -X21716Y-4703D01* -X21715Y-4708D01* -X21714Y-4710D01* -X21712Y-4713D01* -X21710Y-4716D01* -X21704Y-4725D01* -X21702Y-4732D01* -X21701Y-4738D01* -X21698Y-4743D01* -X21694Y-4746D01* -X21689Y-4750D01* -X21685Y-4754D01* -X21684Y-4754D01* -X21681Y-4757D01* -X21676Y-4762D01* -X21669Y-4766D01* -X21667Y-4768D01* -X21653Y-4777D01* -X21613Y-4777D01* -X21573Y-4777D01* -X21567Y-4771D01* -X21561Y-4767D01* -X21555Y-4763D01* -X21554Y-4763D01* -X21549Y-4760D01* -X21545Y-4756D01* -X21542Y-4752D01* -X21539Y-4750D01* -X21536Y-4747D01* -X21530Y-4741D01* -X21523Y-4731D01* -X21520Y-4726D01* -X21515Y-4721D01* -X21509Y-4719D01* -X21502Y-4722D01* -X21497Y-4726D01* -X21491Y-4730D01* -X21485Y-4733D01* -X21483Y-4734D01* -X21477Y-4736D01* -X21471Y-4740D01* -X21470Y-4741D01* -X21464Y-4745D01* -X21457Y-4748D01* -X21455Y-4749D01* -X21446Y-4752D01* -X21441Y-4757D01* -X21440Y-4763D01* -X21442Y-4769D01* -X21446Y-4774D01* -X21450Y-4779D01* -X21453Y-4782D01* -X21453Y-4783D01* -X21454Y-4786D01* -X21457Y-4791D01* -X21460Y-4795D01* -X21465Y-4800D01* -X21468Y-4804D01* -X21469Y-4806D01* -X21472Y-4810D01* -X21476Y-4812D01* -X21480Y-4814D01* -X21486Y-4818D01* -X21489Y-4821D01* -X21495Y-4826D01* -X21503Y-4832D01* -X21507Y-4835D01* -X21514Y-4840D01* -X21519Y-4843D01* -X21523Y-4843D01* -X21530Y-4845D01* -X21536Y-4849D01* -X21540Y-4852D01* -X21544Y-4854D01* -X21549Y-4856D01* -X21556Y-4857D01* -X21564Y-4858D01* -X21575Y-4860D01* -X21587Y-4862D01* -X21597Y-4865D01* -X21601Y-4866D01* -X21616Y-4869D01* -X21631Y-4871D01* -X21647Y-4869D01* -X21654Y-4868D01* -X21662Y-4866D01* -X21670Y-4864D01* -X21674Y-4862D01* -X21680Y-4860D01* -X21688Y-4859D01* -X21697Y-4857D01* -X21699Y-4857D01* -X21708Y-4856D01* -X21714Y-4855D01* -X21719Y-4853D01* -X21722Y-4850D01* -X21724Y-4849D01* -X21730Y-4845D01* -X21736Y-4842D01* -X21738Y-4842D01* -X21744Y-4840D01* -X21751Y-4836D01* -X21753Y-4834D01* -X21757Y-4830D01* -X21761Y-4828D01* -X21762Y-4828D01* -X21764Y-4826D01* -X21768Y-4823D01* -X21773Y-4817D01* -X21780Y-4811D01* -X21788Y-4803D01* -X21795Y-4796D01* -X21802Y-4789D01* -X21804Y-4786D01* -X21808Y-4781D01* -X21811Y-4777D01* -X21811Y-4775D01* -X21812Y-4771D01* -X21815Y-4766D01* -X21818Y-4763D01* -X21824Y-4754D01* -X21826Y-4745D01* -X21828Y-4738D01* -X21831Y-4731D01* -X21832Y-4729D01* -X21836Y-4721D01* -X21839Y-4710D01* -X21839Y-4708D01* -X21840Y-4702D01* -X21840Y-4692D01* -X21841Y-4679D01* -X21841Y-4665D01* -X21841Y-4650D01* -X21841Y-4634D01* -X21841Y-4618D01* -X21841Y-4604D01* -X21841Y-4591D01* -X21840Y-4581D01* -X21840Y-4580D01* -X21839Y-4570D01* -X21838Y-4563D01* -X21837Y-4558D01* -X21835Y-4553D01* -X21833Y-4551D01* -X21829Y-4543D01* -X21826Y-4535D01* -X21825Y-4532D01* -X21822Y-4521D01* -X21817Y-4514D01* -X21813Y-4510D01* -X21811Y-4506D01* -X21811Y-4505D01* -X21810Y-4502D01* -X21807Y-4497D01* -X21803Y-4493D01* -X21799Y-4488D01* -X21796Y-4484D01* -X21795Y-4483D01* -X21795Y-4481D01* -X21792Y-4477D01* -X21786Y-4472D01* -X21779Y-4466D01* -X21768Y-4456D01* -X21760Y-4449D01* -X21754Y-4444D01* -X21750Y-4442D01* -X21747Y-4441D01* -X21744Y-4440D01* -X21740Y-4436D01* -X21738Y-4435D01* -X21729Y-4430D01* -X21720Y-4427D01* -X21712Y-4424D01* -X21704Y-4421D01* -X21701Y-4419D01* -X21697Y-4417D01* -X21693Y-4415D01* -X21688Y-4414D01* -X21681Y-4413D01* -X21671Y-4412D01* -X21670Y-4412D01* -X21659Y-4412D01* -X21646Y-4411D01* -X21632Y-4411D01* -X21618Y-4412D01* -X21604Y-4412D01* -X21591Y-4413D01* -X21579Y-4413D01* -X21569Y-4414D01* -X21561Y-4415D01* -X21557Y-4416D01* -X21556Y-4416D01* -X21551Y-4420D01* -X21548Y-4422D01* -X21544Y-4424D01* -X21537Y-4426D01* -X21530Y-4427D01* -X21522Y-4429D01* -X21517Y-4430D01* -X21513Y-4433D01* -X21513Y-4434D01* -X21508Y-4438D01* -X21502Y-4441D01* -X21497Y-4444D01* -X21493Y-4448D01* -X21490Y-4452D01* -X21484Y-4456D01* -X21483Y-4456D01* -X21478Y-4460D01* -X21471Y-4466D01* -X21464Y-4473D01* -X21458Y-4480D01* -X21454Y-4487D01* -X21453Y-4488D01* -X21450Y-4493D01* -X21445Y-4497D01* -X21445Y-4498D01* -X21441Y-4501D01* -X21439Y-4504D01* -X21439Y-4505D01* -X21439Y-4505D01* -X21439Y-4505D01* -G37* -G36* -X20996Y-4762D02* -X20998Y-4768D01* -X21001Y-4774D01* -X21005Y-4780D01* -X21007Y-4783D01* -X21011Y-4786D01* -X21012Y-4789D01* -X21012Y-4789D01* -X21013Y-4792D01* -X21017Y-4796D01* -X21021Y-4802D01* -X21026Y-4807D01* -X21031Y-4811D01* -X21034Y-4813D01* -X21035Y-4814D01* -X21038Y-4815D01* -X21041Y-4819D01* -X21042Y-4820D01* -X21046Y-4824D01* -X21051Y-4827D01* -X21051Y-4827D01* -X21056Y-4830D01* -X21061Y-4835D01* -X21062Y-4835D01* -X21069Y-4840D01* -X21076Y-4842D01* -X21083Y-4844D01* -X21089Y-4847D01* -X21090Y-4848D01* -X21096Y-4852D01* -X21101Y-4855D01* -X21107Y-4856D01* -X21115Y-4858D01* -X21119Y-4858D01* -X21129Y-4859D01* -X21140Y-4862D01* -X21150Y-4864D01* -X21153Y-4865D01* -X21169Y-4869D01* -X21183Y-4871D01* -X21198Y-4870D01* -X21209Y-4868D01* -X21217Y-4866D01* -X21225Y-4864D01* -X21229Y-4862D01* -X21235Y-4860D01* -X21243Y-4859D01* -X21250Y-4858D01* -X21259Y-4857D01* -X21266Y-4856D01* -X21270Y-4855D01* -X21274Y-4853D01* -X21277Y-4850D01* -X21283Y-4847D01* -X21290Y-4843D01* -X21293Y-4842D01* -X21300Y-4840D01* -X21305Y-4836D01* -X21307Y-4835D01* -X21312Y-4830D01* -X21318Y-4827D01* -X21318Y-4827D01* -X21323Y-4824D01* -X21327Y-4821D01* -X21327Y-4820D01* -X21330Y-4817D01* -X21335Y-4814D01* -X21340Y-4810D01* -X21343Y-4806D01* -X21346Y-4801D01* -X21350Y-4798D01* -X21354Y-4794D01* -X21357Y-4789D01* -X21357Y-4789D01* -X21359Y-4784D01* -X21363Y-4780D01* -X21367Y-4775D01* -X21371Y-4767D01* -X21371Y-4766D01* -X21374Y-4759D01* -X21376Y-4754D01* -X21378Y-4752D01* -X21382Y-4747D01* -X21384Y-4740D01* -X21386Y-4730D01* -X21387Y-4724D01* -X21389Y-4713D01* -X21393Y-4706D01* -X21399Y-4698D01* -X21399Y-4641D01* -X21400Y-4585D01* -X21394Y-4574D01* -X21388Y-4559D01* -X21386Y-4547D01* -X21385Y-4539D01* -X21383Y-4533D01* -X21381Y-4529D01* -X21378Y-4527D01* -X21373Y-4518D01* -X21371Y-4512D01* -X21369Y-4505D01* -X21364Y-4500D01* -X21364Y-4499D01* -X21360Y-4496D01* -X21358Y-4492D01* -X21357Y-4492D01* -X21356Y-4489D01* -X21352Y-4484D01* -X21346Y-4478D01* -X21338Y-4470D01* -X21330Y-4462D01* -X21322Y-4454D01* -X21315Y-4448D01* -X21310Y-4444D01* -X21306Y-4441D01* -X21304Y-4441D01* -X21300Y-4440D01* -X21295Y-4436D01* -X21293Y-4435D01* -X21285Y-4430D01* -X21276Y-4427D01* -X21276Y-4427D01* -X21268Y-4424D01* -X21261Y-4421D01* -X21259Y-4420D01* -X21254Y-4417D01* -X21249Y-4415D01* -X21241Y-4414D01* -X21231Y-4413D01* -X21219Y-4412D01* -X21204Y-4412D01* -X21185Y-4412D01* -X21168Y-4412D01* -X21152Y-4412D01* -X21139Y-4413D01* -X21130Y-4413D01* -X21123Y-4414D01* -X21118Y-4414D01* -X21114Y-4415D01* -X21112Y-4416D01* -X21111Y-4417D01* -X21101Y-4423D01* -X21092Y-4426D01* -X21085Y-4427D01* -X21078Y-4428D01* -X21072Y-4431D01* -X21071Y-4433D01* -X21065Y-4437D01* -X21058Y-4442D01* -X21056Y-4442D01* -X21050Y-4446D01* -X21046Y-4449D01* -X21046Y-4450D01* -X21042Y-4454D01* -X21037Y-4456D01* -X21037Y-4456D01* -X21031Y-4459D01* -X21028Y-4464D01* -X21025Y-4468D01* -X21021Y-4471D01* -X21017Y-4474D01* -X21014Y-4479D01* -X21011Y-4484D01* -X21007Y-4490D01* -X21005Y-4492D01* -X21001Y-4498D01* -X20999Y-4503D01* -X20998Y-4504D01* -X21000Y-4510D01* -X21005Y-4515D01* -X21013Y-4519D01* -X21017Y-4521D01* -X21024Y-4523D01* -X21030Y-4527D01* -X21032Y-4528D01* -X21037Y-4532D01* -X21044Y-4535D01* -X21048Y-4536D01* -X21055Y-4539D01* -X21061Y-4542D01* -X21063Y-4544D01* -X21069Y-4548D01* -X21076Y-4550D01* -X21083Y-4549D01* -X21088Y-4544D01* -X21091Y-4540D01* -X21094Y-4533D01* -X21100Y-4526D01* -X21108Y-4518D01* -X21115Y-4512D01* -X21123Y-4507D01* -X21126Y-4506D01* -X21133Y-4503D01* -X21138Y-4500D01* -X21140Y-4499D01* -X21144Y-4495D01* -X21150Y-4493D01* -X21158Y-4492D01* -X21168Y-4492D01* -X21176Y-4492D01* -X21186Y-4493D01* -X21193Y-4494D01* -X21200Y-4497D01* -X21206Y-4499D01* -X21213Y-4503D01* -X21219Y-4505D01* -X21223Y-4506D01* -X21228Y-4509D01* -X21232Y-4513D01* -X21232Y-4513D01* -X21237Y-4518D01* -X21242Y-4521D01* -X21246Y-4524D01* -X21248Y-4526D01* -X21248Y-4526D01* -X21250Y-4528D01* -X21253Y-4532D01* -X21255Y-4534D01* -X21261Y-4543D01* -X21263Y-4550D01* -X21266Y-4560D01* -X21270Y-4565D01* -X21272Y-4568D01* -X21274Y-4571D01* -X21275Y-4574D01* -X21276Y-4579D01* -X21277Y-4586D01* -X21277Y-4594D01* -X21278Y-4605D01* -X21278Y-4619D01* -X21278Y-4637D01* -X21277Y-4647D01* -X21277Y-4663D01* -X21277Y-4676D01* -X21277Y-4686D01* -X21276Y-4693D01* -X21276Y-4698D01* -X21275Y-4702D01* -X21274Y-4704D01* -X21273Y-4706D01* -X21273Y-4707D01* -X21268Y-4714D01* -X21265Y-4719D01* -X21263Y-4723D01* -X21262Y-4727D01* -X21261Y-4729D01* -X21260Y-4733D01* -X21257Y-4738D01* -X21252Y-4744D01* -X21247Y-4749D01* -X21240Y-4755D01* -X21234Y-4761D01* -X21228Y-4764D01* -X21227Y-4765D01* -X21222Y-4769D01* -X21217Y-4772D01* -X21217Y-4772D01* -X21213Y-4775D01* -X21208Y-4776D01* -X21200Y-4777D01* -X21190Y-4778D01* -X21176Y-4778D01* -X21161Y-4778D01* -X21130Y-4777D01* -X21124Y-4771D01* -X21119Y-4767D01* -X21114Y-4764D01* -X21112Y-4764D01* -X21108Y-4761D01* -X21102Y-4756D01* -X21095Y-4750D01* -X21089Y-4743D01* -X21083Y-4736D01* -X21079Y-4730D01* -X21079Y-4728D01* -X21077Y-4723D01* -X21074Y-4721D01* -X21070Y-4720D01* -X21069Y-4720D01* -X21063Y-4720D01* -X21059Y-4722D01* -X21056Y-4725D01* -X21048Y-4731D01* -X21040Y-4734D01* -X21031Y-4737D01* -X21026Y-4741D01* -X21025Y-4742D01* -X21020Y-4746D01* -X21012Y-4749D01* -X21005Y-4751D01* -X20999Y-4756D01* -X20996Y-4761D01* -X20996Y-4762D01* -X20996Y-4762D01* -X20996Y-4762D01* -G37* -G36* -X19183Y-4379D02* -X19183Y-4400D01* -X19183Y-4419D01* -X19183Y-4437D01* -X19183Y-4453D01* -X19183Y-4467D01* -X19183Y-4478D01* -X19184Y-4486D01* -X19184Y-4490D01* -X19184Y-4492D01* -X19186Y-4493D01* -X19190Y-4494D01* -X19196Y-4494D01* -X19206Y-4494D01* -X19210Y-4494D01* -X19222Y-4494D01* -X19231Y-4495D01* -X19237Y-4496D01* -X19241Y-4499D01* -X19244Y-4502D01* -X19246Y-4508D01* -X19246Y-4509D01* -X19247Y-4512D01* -X19248Y-4515D01* -X19248Y-4518D01* -X19249Y-4523D01* -X19249Y-4529D01* -X19249Y-4537D01* -X19249Y-4548D01* -X19249Y-4560D01* -X19249Y-4575D01* -X19249Y-4593D01* -X19249Y-4615D01* -X19249Y-4640D01* -X19249Y-4643D01* -X19248Y-4766D01* -X19254Y-4768D01* -X19258Y-4769D01* -X19265Y-4769D01* -X19275Y-4770D01* -X19289Y-4770D01* -X19305Y-4770D01* -X19323Y-4770D01* -X19333Y-4770D01* -X19352Y-4770D01* -X19368Y-4770D01* -X19380Y-4770D01* -X19390Y-4770D01* -X19397Y-4770D01* -X19402Y-4769D01* -X19405Y-4769D01* -X19407Y-4768D01* -X19408Y-4768D01* -X19408Y-4767D01* -X19408Y-4765D01* -X19408Y-4759D01* -X19408Y-4749D01* -X19408Y-4737D01* -X19409Y-4722D01* -X19409Y-4706D01* -X19409Y-4687D01* -X19409Y-4667D01* -X19409Y-4645D01* -X19409Y-4638D01* -X19409Y-4611D01* -X19409Y-4588D01* -X19409Y-4568D01* -X19409Y-4552D01* -X19409Y-4538D01* -X19410Y-4527D01* -X19410Y-4518D01* -X19411Y-4511D01* -X19411Y-4506D01* -X19412Y-4502D01* -X19413Y-4500D01* -X19414Y-4498D01* -X19416Y-4497D01* -X19417Y-4496D01* -X19420Y-4495D01* -X19427Y-4494D01* -X19435Y-4494D01* -X19443Y-4494D01* -X19454Y-4494D01* -X19461Y-4493D01* -X19466Y-4492D01* -X19469Y-4491D01* -X19469Y-4491D01* -X19474Y-4488D01* -X19474Y-4386D01* -X19474Y-4363D01* -X19474Y-4343D01* -X19474Y-4326D01* -X19473Y-4313D01* -X19473Y-4301D01* -X19473Y-4292D01* -X19473Y-4285D01* -X19472Y-4279D01* -X19471Y-4274D01* -X19471Y-4270D01* -X19471Y-4270D01* -X19469Y-4261D01* -X19467Y-4255D01* -X19465Y-4252D01* -X19462Y-4250D01* -X19457Y-4249D01* -X19451Y-4247D01* -X19446Y-4245D01* -X19444Y-4244D01* -X19441Y-4243D01* -X19437Y-4243D01* -X19431Y-4243D01* -X19423Y-4242D01* -X19413Y-4242D01* -X19400Y-4242D01* -X19385Y-4242D01* -X19366Y-4242D01* -X19344Y-4242D01* -X19328Y-4242D01* -X19216Y-4242D01* -X19202Y-4249D01* -X19194Y-4253D01* -X19189Y-4257D01* -X19186Y-4260D01* -X19185Y-4263D01* -X19185Y-4265D01* -X19184Y-4268D01* -X19184Y-4273D01* -X19183Y-4279D01* -X19183Y-4287D01* -X19183Y-4298D01* -X19183Y-4311D01* -X19183Y-4327D01* -X19183Y-4347D01* -X19183Y-4370D01* -X19183Y-4379D01* -X19183Y-4379D01* -X19183Y-4379D01* -G37* -G36* -X19257Y-4114D02* -X19258Y-4122D01* -X19258Y-4125D01* -X19258Y-4135D01* -X19259Y-4141D01* -X19260Y-4145D01* -X19261Y-4148D01* -X19263Y-4150D01* -X19266Y-4155D01* -X19270Y-4161D01* -X19270Y-4161D01* -X19273Y-4166D01* -X19277Y-4172D01* -X19283Y-4178D01* -X19288Y-4182D01* -X19293Y-4185D01* -X19294Y-4185D01* -X19298Y-4186D01* -X19303Y-4188D01* -X19306Y-4190D01* -X19317Y-4195D01* -X19328Y-4196D01* -X19338Y-4195D01* -X19347Y-4192D01* -X19353Y-4189D01* -X19361Y-4185D01* -X19365Y-4184D01* -X19373Y-4181D01* -X19377Y-4177D01* -X19378Y-4175D01* -X19382Y-4170D01* -X19386Y-4165D01* -X19390Y-4160D01* -X19393Y-4155D01* -X19395Y-4146D01* -X19396Y-4134D01* -X19396Y-4122D01* -X19396Y-4109D01* -X19395Y-4105D01* -X19394Y-4090D01* -X19379Y-4075D01* -X19372Y-4069D01* -X19367Y-4064D01* -X19363Y-4062D01* -X19359Y-4060D01* -X19356Y-4060D01* -X19348Y-4058D01* -X19340Y-4056D01* -X19339Y-4056D01* -X19335Y-4054D01* -X19331Y-4054D01* -X19326Y-4055D01* -X19319Y-4056D01* -X19316Y-4057D01* -X19308Y-4059D01* -X19301Y-4060D01* -X19296Y-4061D01* -X19296Y-4061D01* -X19292Y-4062D01* -X19287Y-4066D01* -X19281Y-4071D01* -X19276Y-4076D01* -X19272Y-4081D01* -X19270Y-4084D01* -X19268Y-4089D01* -X19265Y-4095D01* -X19263Y-4097D01* -X19260Y-4101D01* -X19259Y-4104D01* -X19258Y-4108D01* -X19257Y-4114D01* -X19257Y-4114D01* -X19257Y-4114D01* -G37* -G36* -X17648Y-4416D02* -X17648Y-4433D01* -X17650Y-4440D01* -X17653Y-4451D01* -X17655Y-4464D01* -X17657Y-4479D01* -X17659Y-4496D01* -X17660Y-4506D01* -X17661Y-4513D01* -X17662Y-4519D01* -X17664Y-4523D01* -X17666Y-4526D01* -X17670Y-4532D01* -X17672Y-4540D01* -X17673Y-4544D01* -X17676Y-4553D01* -X17681Y-4561D01* -X17685Y-4568D01* -X17688Y-4575D01* -X17689Y-4577D01* -X17691Y-4584D01* -X17695Y-4590D01* -X17696Y-4591D01* -X17700Y-4596D01* -X17703Y-4601D01* -X17703Y-4602D01* -X17706Y-4606D01* -X17710Y-4609D01* -X17714Y-4614D01* -X17717Y-4618D01* -X17719Y-4621D01* -X17722Y-4624D01* -X17727Y-4629D01* -X17733Y-4636D01* -X17742Y-4644D01* -X17745Y-4646D01* -X17751Y-4652D01* -X17757Y-4658D01* -X17760Y-4661D01* -X17765Y-4665D01* -X17770Y-4668D01* -X17771Y-4668D01* -X17776Y-4670D01* -X17781Y-4674D01* -X17783Y-4675D01* -X17789Y-4679D01* -X17795Y-4682D01* -X17796Y-4683D01* -X17803Y-4686D01* -X17808Y-4690D01* -X17809Y-4690D01* -X17813Y-4693D01* -X17819Y-4695D01* -X17827Y-4697D01* -X17828Y-4697D01* -X17841Y-4700D01* -X17851Y-4704D01* -X17851Y-4704D01* -X17855Y-4707D01* -X17859Y-4708D01* -X17864Y-4709D01* -X17871Y-4710D01* -X17881Y-4710D01* -X17883Y-4710D01* -X17901Y-4711D01* -X17916Y-4712D01* -X17928Y-4712D01* -X17938Y-4712D01* -X17946Y-4712D01* -X17953Y-4712D01* -X17957Y-4711D01* -X17967Y-4711D01* -X17977Y-4710D01* -X17987Y-4710D01* -X17996Y-4709D01* -X18003Y-4708D01* -X18010Y-4707D01* -X18015Y-4705D01* -X18023Y-4701D01* -X18032Y-4698D01* -X18038Y-4697D01* -X18049Y-4693D01* -X18056Y-4689D01* -X18061Y-4685D01* -X18065Y-4683D01* -X18067Y-4683D01* -X18071Y-4682D01* -X18075Y-4678D01* -X18077Y-4677D01* -X18082Y-4672D01* -X18088Y-4668D01* -X18089Y-4668D01* -X18093Y-4665D01* -X18100Y-4659D01* -X18107Y-4652D01* -X18115Y-4645D01* -X18122Y-4637D01* -X18128Y-4630D01* -X18132Y-4624D01* -X18134Y-4621D01* -X18137Y-4616D01* -X18142Y-4611D01* -X18142Y-4611D01* -X18147Y-4606D01* -X18148Y-4600D01* -X18151Y-4592D01* -X18156Y-4585D01* -X18156Y-4585D01* -X18160Y-4579D01* -X18162Y-4572D01* -X18163Y-4566D01* -X18166Y-4556D01* -X18169Y-4548D01* -X18170Y-4547D01* -X18173Y-4540D01* -X18176Y-4532D01* -X18177Y-4525D01* -X18177Y-4518D01* -X18177Y-4517D01* -X18176Y-4516D01* -X18175Y-4515D01* -X18173Y-4514D01* -X18170Y-4514D01* -X18165Y-4514D01* -X18157Y-4513D01* -X18147Y-4513D01* -X18134Y-4513D01* -X18118Y-4513D01* -X18117Y-4513D01* -X18102Y-4513D01* -X18088Y-4513D01* -X18076Y-4513D01* -X18067Y-4513D01* -X18060Y-4514D01* -X18057Y-4514D01* -X18056Y-4514D01* -X18054Y-4515D01* -X18052Y-4520D01* -X18048Y-4526D01* -X18045Y-4533D01* -X18043Y-4541D01* -X18041Y-4547D01* -X18041Y-4548D01* -X18037Y-4558D01* -X18033Y-4564D01* -X18029Y-4569D01* -X18024Y-4576D01* -X18024Y-4576D01* -X18020Y-4581D01* -X18014Y-4586D01* -X18012Y-4587D01* -X18006Y-4591D01* -X17999Y-4595D01* -X17998Y-4596D01* -X17990Y-4601D01* -X17983Y-4603D01* -X17976Y-4605D01* -X17967Y-4607D01* -X17960Y-4610D01* -X17953Y-4612D01* -X17945Y-4614D01* -X17936Y-4614D01* -X17928Y-4615D01* -X17919Y-4615D01* -X17913Y-4614D01* -X17909Y-4614D01* -X17906Y-4612D01* -X17903Y-4610D01* -X17898Y-4607D01* -X17891Y-4605D01* -X17882Y-4604D01* -X17880Y-4604D01* -X17871Y-4602D01* -X17866Y-4601D01* -X17862Y-4600D01* -X17859Y-4597D01* -X17856Y-4594D01* -X17851Y-4590D01* -X17848Y-4587D01* -X17846Y-4586D01* -X17844Y-4585D01* -X17841Y-4581D01* -X17841Y-4581D01* -X17837Y-4576D01* -X17832Y-4573D01* -X17828Y-4571D01* -X17826Y-4568D01* -X17826Y-4568D01* -X17825Y-4566D01* -X17822Y-4562D01* -X17820Y-4560D01* -X17814Y-4553D01* -X17811Y-4546D01* -X17808Y-4539D01* -X17805Y-4533D01* -X17804Y-4532D01* -X17801Y-4527D01* -X17798Y-4520D01* -X17796Y-4514D01* -X17794Y-4506D01* -X17791Y-4500D01* -X17789Y-4497D01* -X17787Y-4494D01* -X17786Y-4491D01* -X17785Y-4487D01* -X17784Y-4481D01* -X17783Y-4471D01* -X17783Y-4466D01* -X17782Y-4456D01* -X17782Y-4444D01* -X17782Y-4429D01* -X17782Y-4413D01* -X17782Y-4398D01* -X17782Y-4389D01* -X17783Y-4338D01* -X17789Y-4328D01* -X17793Y-4320D01* -X17796Y-4312D01* -X17797Y-4308D01* -X17799Y-4301D01* -X17802Y-4295D01* -X17804Y-4293D01* -X17808Y-4288D01* -X17811Y-4282D01* -X17814Y-4276D01* -X17819Y-4270D01* -X17824Y-4264D01* -X17830Y-4259D01* -X17834Y-4257D01* -X17838Y-4254D01* -X17841Y-4250D01* -X17845Y-4245D01* -X17849Y-4243D01* -X17853Y-4241D01* -X17858Y-4237D01* -X17859Y-4236D01* -X17862Y-4233D01* -X17864Y-4232D01* -X17868Y-4230D01* -X17872Y-4229D01* -X17879Y-4229D01* -X17889Y-4228D01* -X17894Y-4228D01* -X17907Y-4227D01* -X17921Y-4227D01* -X17936Y-4227D01* -X17947Y-4227D01* -X17958Y-4228D01* -X17965Y-4228D01* -X17970Y-4229D01* -X17974Y-4230D01* -X17976Y-4232D01* -X17979Y-4234D01* -X17986Y-4239D01* -X17995Y-4242D01* -X17995Y-4242D01* -X18002Y-4244D01* -X18006Y-4247D01* -X18009Y-4250D01* -X18012Y-4255D01* -X18017Y-4259D01* -X18021Y-4261D01* -X18023Y-4264D01* -X18023Y-4264D01* -X18025Y-4266D01* -X18028Y-4270D01* -X18031Y-4273D01* -X18036Y-4279D01* -X18039Y-4285D01* -X18040Y-4292D01* -X18042Y-4302D01* -X18047Y-4311D01* -X18052Y-4320D01* -X18053Y-4328D01* -X18050Y-4333D01* -X18045Y-4337D01* -X18036Y-4338D01* -X18036Y-4338D01* -X18029Y-4339D01* -X18026Y-4341D01* -X18026Y-4345D01* -X18029Y-4348D01* -X18032Y-4350D01* -X18037Y-4355D01* -X18044Y-4361D01* -X18052Y-4370D01* -X18062Y-4379D01* -X18072Y-4390D01* -X18075Y-4392D01* -X18085Y-4402D01* -X18095Y-4412D01* -X18103Y-4420D01* -X18110Y-4426D01* -X18115Y-4431D01* -X18117Y-4433D01* -X18117Y-4433D01* -X18120Y-4432D01* -X18123Y-4428D01* -X18124Y-4427D01* -X18127Y-4423D01* -X18132Y-4418D01* -X18139Y-4411D01* -X18147Y-4402D01* -X18156Y-4393D01* -X18166Y-4384D01* -X18175Y-4374D01* -X18184Y-4366D01* -X18192Y-4358D01* -X18198Y-4352D01* -X18203Y-4348D01* -X18203Y-4348D01* -X18207Y-4344D01* -X18207Y-4341D01* -X18204Y-4339D01* -X18196Y-4338D01* -X18195Y-4338D01* -X18187Y-4338D01* -X18182Y-4336D01* -X18179Y-4333D01* -X18177Y-4326D01* -X18177Y-4325D01* -X18175Y-4318D01* -X18171Y-4310D01* -X18171Y-4309D01* -X18168Y-4303D01* -X18165Y-4294D01* -X18164Y-4284D01* -X18162Y-4275D01* -X18160Y-4269D01* -X18158Y-4265D01* -X18156Y-4261D01* -X18152Y-4255D01* -X18149Y-4247D01* -X18146Y-4238D01* -X18142Y-4232D01* -X18137Y-4227D01* -X18134Y-4220D01* -X18133Y-4220D01* -X18131Y-4214D01* -X18128Y-4210D01* -X18127Y-4210D01* -X18124Y-4207D01* -X18120Y-4202D01* -X18120Y-4200D01* -X18116Y-4195D01* -X18113Y-4192D01* -X18112Y-4192D01* -X18108Y-4189D01* -X18105Y-4185D01* -X18105Y-4185D01* -X18102Y-4181D01* -X18100Y-4179D01* -X18100Y-4179D01* -X18097Y-4178D01* -X18093Y-4174D01* -X18090Y-4171D01* -X18085Y-4167D01* -X18081Y-4164D01* -X18078Y-4163D01* -X18075Y-4162D01* -X18070Y-4159D01* -X18069Y-4158D01* -X18062Y-4152D01* -X18055Y-4148D01* -X18049Y-4147D01* -X18043Y-4145D01* -X18037Y-4141D01* -X18036Y-4140D01* -X18032Y-4137D01* -X18027Y-4135D01* -X18021Y-4134D01* -X18015Y-4133D01* -X18006Y-4132D01* -X18000Y-4130D01* -X17994Y-4127D01* -X17993Y-4126D01* -X17985Y-4121D01* -X17941Y-4120D01* -X17922Y-4120D01* -X17907Y-4120D01* -X17895Y-4121D01* -X17885Y-4122D01* -X17877Y-4124D01* -X17871Y-4126D01* -X17866Y-4128D01* -X17860Y-4131D01* -X17851Y-4133D01* -X17843Y-4134D01* -X17833Y-4135D01* -X17827Y-4137D01* -X17822Y-4139D01* -X17819Y-4141D01* -X17813Y-4145D01* -X17805Y-4148D01* -X17803Y-4149D01* -X17794Y-4152D01* -X17789Y-4156D01* -X17789Y-4156D01* -X17784Y-4160D01* -X17776Y-4163D01* -X17776Y-4164D01* -X17770Y-4166D01* -X17765Y-4169D01* -X17764Y-4170D01* -X17759Y-4174D01* -X17754Y-4178D01* -X17749Y-4181D01* -X17746Y-4186D01* -X17744Y-4189D01* -X17742Y-4191D01* -X17739Y-4192D01* -X17735Y-4196D01* -X17730Y-4201D01* -X17724Y-4206D01* -X17720Y-4211D01* -X17717Y-4215D01* -X17716Y-4216D01* -X17713Y-4221D01* -X17710Y-4224D01* -X17707Y-4227D01* -X17704Y-4233D01* -X17704Y-4233D01* -X17700Y-4239D01* -X17696Y-4244D01* -X17692Y-4250D01* -X17688Y-4257D01* -X17688Y-4260D01* -X17685Y-4267D01* -X17682Y-4273D01* -X17680Y-4275D01* -X17675Y-4283D01* -X17673Y-4291D01* -X17671Y-4298D01* -X17668Y-4307D01* -X17666Y-4310D01* -X17663Y-4315D01* -X17662Y-4320D01* -X17660Y-4327D01* -X17659Y-4337D01* -X17659Y-4340D01* -X17657Y-4360D01* -X17654Y-4377D01* -X17651Y-4393D01* -X17650Y-4398D01* -X17648Y-4416D01* -X17648Y-4416D01* -X17648Y-4416D01* -G37* -G36* -X18672Y-35848D02* -X18673Y-35848D01* -X18675Y-35846D01* -X18679Y-35843D01* -X18685Y-35838D01* -X18691Y-35833D01* -X18699Y-35827D01* -X18708Y-35819D01* -X18717Y-35811D01* -X18728Y-35803D01* -X18739Y-35793D01* -X18751Y-35784D01* -X18757Y-35778D01* -X18769Y-35768D01* -X18780Y-35759D01* -X18791Y-35750D01* -X18801Y-35741D01* -X18810Y-35734D01* -X18818Y-35727D01* -X18826Y-35721D01* -X18832Y-35716D01* -X18836Y-35712D01* -X18840Y-35709D01* -X18842Y-35708D01* -X18842Y-35708D01* -X18844Y-35709D01* -X18847Y-35711D01* -X18852Y-35713D01* -X18859Y-35717D01* -X18867Y-35721D01* -X18876Y-35726D01* -X18886Y-35732D01* -X18897Y-35738D01* -X18909Y-35744D01* -X18922Y-35750D01* -X18934Y-35757D01* -X18948Y-35764D01* -X18961Y-35771D01* -X18974Y-35779D01* -X18988Y-35786D01* -X19001Y-35792D01* -X19013Y-35799D01* -X19025Y-35805D01* -X19036Y-35811D01* -X19046Y-35817D01* -X19056Y-35822D01* -X19064Y-35826D01* -X19071Y-35830D01* -X19076Y-35832D01* -X19080Y-35834D01* -X19082Y-35835D01* -X19082Y-35836D01* -X19083Y-35835D01* -X19084Y-35834D01* -X19086Y-35831D01* -X19088Y-35827D01* -X19092Y-35822D01* -X19096Y-35815D01* -X19101Y-35807D01* -X19106Y-35797D01* -X19113Y-35786D01* -X19121Y-35773D01* -X19130Y-35758D01* -X19133Y-35752D01* -X19140Y-35740D01* -X19147Y-35728D01* -X19154Y-35716D01* -X19160Y-35706D01* -X19166Y-35696D01* -X19171Y-35688D01* -X19175Y-35681D01* -X19178Y-35675D01* -X19181Y-35671D01* -X19182Y-35668D01* -X19183Y-35667D01* -X19184Y-35667D01* -X19187Y-35669D01* -X19191Y-35671D01* -X19198Y-35674D01* -X19205Y-35677D01* -X19214Y-35681D01* -X19224Y-35686D01* -X19234Y-35691D01* -X19246Y-35697D01* -X19257Y-35703D01* -X19270Y-35709D01* -X19282Y-35715D01* -X19295Y-35721D01* -X19308Y-35728D01* -X19320Y-35734D01* -X19332Y-35740D01* -X19344Y-35746D01* -X19354Y-35752D01* -X19364Y-35757D01* -X19373Y-35761D01* -X19381Y-35766D01* -X19387Y-35769D01* -X19392Y-35772D01* -X19396Y-35774D01* -X19396Y-35774D01* -X19401Y-35777D01* -X19405Y-35780D01* -X19408Y-35781D01* -X19409Y-35782D01* -X19409Y-35782D01* -X19410Y-35781D01* -X19410Y-35777D01* -X19411Y-35772D01* -X19413Y-35765D01* -X19414Y-35756D01* -X19416Y-35746D01* -X19419Y-35733D01* -X19421Y-35720D01* -X19424Y-35705D01* -X19427Y-35688D01* -X19430Y-35671D01* -X19434Y-35652D01* -X19437Y-35633D01* -X19441Y-35612D01* -X19445Y-35591D01* -X19449Y-35569D01* -X19452Y-35553D01* -X19457Y-35526D01* -X19462Y-35501D01* -X19466Y-35479D01* -X19470Y-35458D01* -X19473Y-35439D01* -X19476Y-35422D01* -X19479Y-35407D01* -X19482Y-35393D01* -X19484Y-35381D01* -X19486Y-35370D01* -X19488Y-35360D01* -X19490Y-35351D01* -X19491Y-35344D01* -X19493Y-35338D01* -X19493Y-35332D01* -X19494Y-35328D01* -X19495Y-35324D01* -X19496Y-35321D01* -X19497Y-35318D01* -X19498Y-35316D01* -X19498Y-35314D01* -X19499Y-35313D01* -X19500Y-35312D01* -X19501Y-35310D01* -X19502Y-35309D01* -X19503Y-35308D01* -X19503Y-35308D01* -X19506Y-35304D01* -X19510Y-35301D01* -X19512Y-35299D01* -X19514Y-35298D01* -X19516Y-35297D01* -X19520Y-35296D01* -X19525Y-35293D01* -X19531Y-35291D01* -X19539Y-35288D01* -X19548Y-35284D01* -X19558Y-35279D01* -X19570Y-35274D01* -X19584Y-35269D01* -X19599Y-35263D01* -X19617Y-35256D01* -X19635Y-35248D01* -X19656Y-35240D01* -X19679Y-35231D01* -X19703Y-35221D01* -X19730Y-35211D01* -X19758Y-35199D01* -X19784Y-35189D01* -X19809Y-35179D01* -X19831Y-35170D01* -X19852Y-35162D01* -X19871Y-35154D01* -X19888Y-35147D01* -X19904Y-35141D01* -X19918Y-35136D01* -X19930Y-35131D01* -X19942Y-35126D01* -X19952Y-35122D01* -X19961Y-35119D01* -X19968Y-35116D01* -X19975Y-35113D01* -X19981Y-35111D01* -X19986Y-35109D01* -X19990Y-35107D01* -X19994Y-35106D01* -X19997Y-35105D01* -X19999Y-35104D01* -X20001Y-35104D01* -X20003Y-35103D01* -X20004Y-35103D01* -X20005Y-35103D01* -X20006Y-35103D01* -X20007Y-35103D01* -X20017Y-35103D01* -X20026Y-35105D01* -X20031Y-35107D01* -X20033Y-35108D01* -X20036Y-35110D01* -X20040Y-35113D01* -X20047Y-35118D01* -X20055Y-35123D01* -X20064Y-35129D01* -X20075Y-35136D01* -X20087Y-35145D01* -X20100Y-35154D01* -X20114Y-35163D01* -X20130Y-35174D01* -X20146Y-35185D01* -X20163Y-35197D01* -X20182Y-35210D01* -X20201Y-35223D01* -X20220Y-35236D01* -X20241Y-35250D01* -X20261Y-35264D01* -X20282Y-35278D01* -X20304Y-35293D01* -X20324Y-35307D01* -X20345Y-35321D01* -X20364Y-35335D01* -X20384Y-35348D01* -X20402Y-35360D01* -X20419Y-35372D01* -X20436Y-35383D01* -X20451Y-35394D01* -X20466Y-35404D01* -X20479Y-35413D01* -X20491Y-35421D01* -X20502Y-35428D01* -X20512Y-35435D01* -X20520Y-35440D01* -X20526Y-35445D01* -X20531Y-35448D01* -X20534Y-35450D01* -X20536Y-35451D01* -X20540Y-35453D01* -X20543Y-35454D01* -X20547Y-35454D01* -X20551Y-35454D01* -X20552Y-35454D01* -X20553Y-35454D01* -X20555Y-35454D01* -X20556Y-35454D01* -X20557Y-35454D01* -X20558Y-35454D01* -X20559Y-35454D01* -X20561Y-35453D01* -X20563Y-35452D01* -X20565Y-35451D01* -X20567Y-35449D01* -X20570Y-35447D01* -X20573Y-35444D01* -X20577Y-35441D01* -X20581Y-35437D01* -X20586Y-35433D01* -X20591Y-35428D01* -X20598Y-35421D01* -X20605Y-35415D01* -X20613Y-35407D01* -X20622Y-35398D01* -X20631Y-35388D01* -X20642Y-35378D01* -X20654Y-35366D01* -X20667Y-35353D01* -X20681Y-35338D01* -X20697Y-35323D01* -X20714Y-35306D01* -X20732Y-35288D01* -X20751Y-35268D01* -X20772Y-35247D01* -X20795Y-35225D01* -X20795Y-35224D01* -X20814Y-35205D01* -X20833Y-35187D01* -X20851Y-35169D01* -X20868Y-35152D01* -X20885Y-35135D01* -X20901Y-35119D01* -X20916Y-35103D01* -X20931Y-35088D01* -X20945Y-35075D01* -X20958Y-35062D01* -X20970Y-35050D01* -X20980Y-35039D01* -X20990Y-35029D01* -X20999Y-35020D01* -X21006Y-35012D01* -X21012Y-35006D01* -X21017Y-35001D01* -X21021Y-34998D01* -X21022Y-34996D01* -X21023Y-34995D01* -X21026Y-34989D01* -X21029Y-34981D01* -X21029Y-34973D01* -X21028Y-34965D01* -X21028Y-34963D01* -X21027Y-34962D01* -X21025Y-34959D01* -X21022Y-34954D01* -X21018Y-34948D01* -X21012Y-34940D01* -X21006Y-34930D01* -X20999Y-34920D01* -X20990Y-34908D01* -X20981Y-34894D01* -X20972Y-34880D01* -X20961Y-34865D01* -X20950Y-34848D01* -X20938Y-34831D01* -X20926Y-34813D01* -X20913Y-34794D01* -X20899Y-34774D01* -X20885Y-34754D01* -X20871Y-34733D01* -X20859Y-34715D01* -X20844Y-34694D01* -X20830Y-34673D01* -X20816Y-34653D01* -X20803Y-34634D01* -X20790Y-34615D01* -X20777Y-34597D01* -X20766Y-34580D01* -X20755Y-34564D01* -X20744Y-34549D01* -X20734Y-34535D01* -X20725Y-34522D01* -X20717Y-34510D01* -X20710Y-34499D01* -X20704Y-34490D01* -X20699Y-34483D01* -X20695Y-34477D01* -X20692Y-34472D01* -X20690Y-34470D01* -X20689Y-34469D01* -X20686Y-34462D01* -X20684Y-34454D01* -X20684Y-34445D01* -X20686Y-34436D01* -X20689Y-34428D01* -X20689Y-34427D01* -X20690Y-34425D01* -X20692Y-34421D01* -X20695Y-34416D01* -X20698Y-34408D01* -X20703Y-34400D01* -X20708Y-34390D01* -X20714Y-34379D01* -X20720Y-34367D01* -X20727Y-34354D01* -X20734Y-34341D01* -X20742Y-34327D01* -X20749Y-34314D01* -X20805Y-34208D01* -X20805Y-34198D01* -X20805Y-34193D01* -X20805Y-34190D01* -X20804Y-34188D01* -X20803Y-34185D01* -X20802Y-34184D01* -X20799Y-34181D01* -X20797Y-34178D01* -X20796Y-34177D01* -X20794Y-34177D01* -X20791Y-34175D01* -X20786Y-34173D01* -X20779Y-34170D01* -X20770Y-34166D01* -X20760Y-34162D01* -X20747Y-34157D01* -X20733Y-34151D01* -X20718Y-34144D01* -X20701Y-34137D01* -X20682Y-34130D01* -X20662Y-34121D01* -X20641Y-34113D01* -X20619Y-34103D01* -X20595Y-34093D01* -X20570Y-34083D01* -X20544Y-34072D01* -X20517Y-34061D01* -X20489Y-34050D01* -X20460Y-34038D01* -X20431Y-34025D01* -X20400Y-34013D01* -X20369Y-34000D01* -X20337Y-33987D01* -X20305Y-33973D01* -X20272Y-33960D01* -X20257Y-33954D01* -X20217Y-33937D01* -X20179Y-33921D01* -X20143Y-33906D01* -X20109Y-33892D01* -X20076Y-33879D01* -X20046Y-33866D01* -X20017Y-33854D01* -X19990Y-33843D01* -X19964Y-33833D01* -X19941Y-33823D01* -X19918Y-33814D01* -X19898Y-33805D01* -X19878Y-33797D01* -X19860Y-33790D01* -X19843Y-33783D01* -X19828Y-33777D01* -X19814Y-33771D01* -X19801Y-33766D01* -X19789Y-33761D01* -X19778Y-33756D01* -X19768Y-33752D01* -X19760Y-33749D01* -X19752Y-33746D01* -X19745Y-33743D01* -X19738Y-33741D01* -X19733Y-33739D01* -X19728Y-33737D01* -X19724Y-33735D01* -X19720Y-33734D01* -X19717Y-33733D01* -X19714Y-33732D01* -X19712Y-33732D01* -X19710Y-33731D01* -X19709Y-33731D01* -X19708Y-33731D01* -X19707Y-33731D01* -X19706Y-33731D01* -X19705Y-33732D01* -X19705Y-33732D01* -X19704Y-33732D01* -X19703Y-33733D01* -X19703Y-33733D01* -X19702Y-33733D01* -X19696Y-33735D01* -X19696Y-33836D01* -X19696Y-33936D01* -X19632Y-34120D01* -X19625Y-34140D01* -X19619Y-34159D01* -X19612Y-34177D01* -X19606Y-34195D01* -X19600Y-34211D01* -X19595Y-34227D01* -X19590Y-34241D01* -X19585Y-34255D01* -X19581Y-34267D01* -X19577Y-34277D01* -X19574Y-34286D01* -X19572Y-34294D01* -X19570Y-34299D01* -X19568Y-34303D01* -X19568Y-34305D01* -X19567Y-34305D01* -X19566Y-34304D01* -X19564Y-34303D01* -X19559Y-34300D01* -X19553Y-34296D01* -X19546Y-34291D01* -X19537Y-34286D01* -X19527Y-34279D01* -X19516Y-34272D01* -X19504Y-34263D01* -X19491Y-34255D01* -X19477Y-34245D01* -X19463Y-34236D01* -X19447Y-34225D01* -X19431Y-34215D01* -X19423Y-34209D01* -X19278Y-34112D01* -X19257Y-34119D01* -X19249Y-34122D01* -X19240Y-34124D01* -X19231Y-34127D01* -X19223Y-34130D01* -X19219Y-34131D01* -X19213Y-34132D01* -X19207Y-34134D01* -X19203Y-34135D01* -X19201Y-34136D01* -X19200Y-34136D01* -X19200Y-34137D01* -X19198Y-34140D01* -X19196Y-34145D01* -X19193Y-34152D01* -X19189Y-34160D01* -X19185Y-34170D01* -X19180Y-34182D01* -X19174Y-34195D01* -X19167Y-34209D01* -X19160Y-34225D01* -X19153Y-34242D01* -X19145Y-34260D01* -X19136Y-34279D01* -X19127Y-34300D01* -X19118Y-34321D01* -X19108Y-34343D01* -X19098Y-34365D01* -X19088Y-34389D01* -X19077Y-34413D01* -X19070Y-34427D01* -X19060Y-34452D01* -X19049Y-34475D01* -X19039Y-34498D01* -X19029Y-34521D01* -X19019Y-34542D01* -X19010Y-34563D01* -X19001Y-34583D01* -X18993Y-34602D01* -X18985Y-34619D01* -X18977Y-34636D01* -X18971Y-34651D01* -X18965Y-34665D01* -X18959Y-34677D01* -X18954Y-34688D01* -X18950Y-34698D01* -X18946Y-34705D01* -X18944Y-34711D01* -X18942Y-34715D01* -X18941Y-34718D01* -X18941Y-34718D01* -X18941Y-34717D01* -X18941Y-34714D01* -X18941Y-34708D01* -X18941Y-34701D01* -X18941Y-34692D01* -X18941Y-34682D01* -X18942Y-34670D01* -X18942Y-34656D01* -X18942Y-34641D01* -X18943Y-34625D01* -X18943Y-34608D01* -X18943Y-34590D01* -X18944Y-34571D01* -X18944Y-34552D01* -X18944Y-34531D01* -X18945Y-34511D01* -X18945Y-34490D01* -X18946Y-34468D01* -X18946Y-34447D01* -X18947Y-34425D01* -X18947Y-34404D01* -X18947Y-34382D01* -X18948Y-34361D01* -X18948Y-34341D01* -X18949Y-34321D01* -X18949Y-34302D01* -X18949Y-34283D01* -X18950Y-34265D01* -X18950Y-34249D01* -X18950Y-34233D01* -X18951Y-34219D01* -X18951Y-34206D01* -X18951Y-34195D01* -X18951Y-34185D01* -X18952Y-34177D01* -X18952Y-34170D01* -X18952Y-34149D01* -X18931Y-34145D01* -X18923Y-34144D01* -X18916Y-34143D01* -X18908Y-34142D01* -X18902Y-34141D01* -X18899Y-34140D01* -X18894Y-34139D01* -X18890Y-34139D01* -X18888Y-34138D01* -X18887Y-34138D01* -X18887Y-34139D01* -X18885Y-34142D01* -X18883Y-34147D01* -X18881Y-34154D01* -X18878Y-34163D01* -X18874Y-34173D01* -X18869Y-34185D01* -X18865Y-34199D01* -X18859Y-34213D01* -X18853Y-34230D01* -X18847Y-34247D01* -X18840Y-34266D01* -X18833Y-34286D01* -X18825Y-34307D01* -X18817Y-34329D01* -X18809Y-34352D01* -X18800Y-34376D01* -X18791Y-34400D01* -X18782Y-34425D01* -X18773Y-34450D01* -X18771Y-34455D01* -X18762Y-34480D01* -X18753Y-34505D01* -X18744Y-34530D01* -X18736Y-34553D01* -X18727Y-34576D01* -X18719Y-34598D01* -X18712Y-34619D01* -X18704Y-34639D01* -X18697Y-34658D01* -X18691Y-34676D01* -X18685Y-34693D01* -X18680Y-34708D01* -X18675Y-34721D01* -X18670Y-34733D01* -X18666Y-34744D01* -X18663Y-34753D01* -X18660Y-34760D01* -X18658Y-34765D01* -X18657Y-34768D01* -X18657Y-34769D01* -X18657Y-34768D01* -X18657Y-34765D01* -X18658Y-34759D01* -X18659Y-34752D01* -X18660Y-34743D01* -X18661Y-34732D01* -X18662Y-34719D01* -X18664Y-34705D01* -X18666Y-34689D01* -X18667Y-34672D01* -X18670Y-34654D01* -X18672Y-34634D01* -X18674Y-34613D01* -X18677Y-34591D01* -X18679Y-34568D01* -X18682Y-34544D01* -X18685Y-34520D01* -X18687Y-34495D01* -X18690Y-34469D01* -X18691Y-34459D01* -X18694Y-34433D01* -X18697Y-34407D01* -X18700Y-34383D01* -X18703Y-34359D01* -X18705Y-34335D01* -X18708Y-34313D01* -X18710Y-34292D01* -X18713Y-34272D01* -X18715Y-34253D01* -X18717Y-34235D01* -X18719Y-34219D01* -X18720Y-34204D01* -X18722Y-34191D01* -X18723Y-34180D01* -X18724Y-34170D01* -X18725Y-34162D01* -X18726Y-34156D01* -X18726Y-34152D01* -X18726Y-34150D01* -X18726Y-34150D01* -X18725Y-34150D01* -X18722Y-34152D01* -X18718Y-34154D01* -X18712Y-34158D01* -X18705Y-34163D01* -X18696Y-34168D01* -X18686Y-34174D01* -X18674Y-34181D01* -X18662Y-34188D01* -X18649Y-34196D01* -X18635Y-34205D01* -X18620Y-34214D01* -X18605Y-34223D01* -X18598Y-34228D01* -X18583Y-34237D01* -X18568Y-34246D01* -X18554Y-34255D01* -X18540Y-34263D01* -X18527Y-34271D01* -X18516Y-34278D01* -X18505Y-34284D01* -X18496Y-34290D01* -X18488Y-34295D01* -X18481Y-34299D01* -X18476Y-34302D01* -X18472Y-34304D01* -X18470Y-34305D01* -X18470Y-34305D01* -X18470Y-34304D01* -X18469Y-34301D01* -X18467Y-34296D01* -X18465Y-34289D01* -X18463Y-34281D01* -X18460Y-34271D01* -X18457Y-34260D01* -X18454Y-34247D01* -X18450Y-34234D01* -X18446Y-34219D01* -X18441Y-34204D01* -X18437Y-34188D01* -X18434Y-34178D01* -X18398Y-34050D01* -X18431Y-33952D01* -X18436Y-33938D01* -X18441Y-33924D01* -X18445Y-33912D01* -X18449Y-33900D01* -X18453Y-33889D01* -X18456Y-33879D01* -X18459Y-33871D01* -X18461Y-33864D01* -X18463Y-33859D01* -X18464Y-33855D01* -X18464Y-33854D01* -X18464Y-33854D01* -X18464Y-33853D01* -X18462Y-33850D01* -X18460Y-33846D01* -X18457Y-33841D01* -X18455Y-33838D01* -X18442Y-33817D01* -X18430Y-33796D01* -X18418Y-33773D01* -X18407Y-33751D01* -X18401Y-33737D01* -X18398Y-33730D01* -X18396Y-33725D01* -X18394Y-33722D01* -X18393Y-33720D01* -X18392Y-33720D01* -X18392Y-33720D01* -X18390Y-33721D01* -X18386Y-33723D01* -X18381Y-33726D01* -X18375Y-33729D01* -X18369Y-33733D01* -X18362Y-33737D01* -X18355Y-33741D01* -X18349Y-33745D01* -X18347Y-33747D01* -X18335Y-33755D01* -X18323Y-33763D01* -X18312Y-33771D01* -X18300Y-33780D01* -X18288Y-33790D01* -X18274Y-33802D01* -X18270Y-33805D01* -X18258Y-33815D01* -X18248Y-33822D01* -X18238Y-33828D01* -X18229Y-33832D01* -X18220Y-33835D01* -X18213Y-33835D01* -X18205Y-33834D01* -X18200Y-33832D01* -X18194Y-33827D01* -X18188Y-33821D01* -X18183Y-33812D01* -X18178Y-33802D01* -X18174Y-33789D01* -X18171Y-33775D01* -X18170Y-33762D01* -X18169Y-33755D01* -X18168Y-33747D01* -X18168Y-33737D01* -X18168Y-33726D01* -X18168Y-33715D01* -X18168Y-33704D01* -X18168Y-33694D01* -X18168Y-33685D01* -X18169Y-33678D01* -X18169Y-33677D01* -X18169Y-33675D01* -X18169Y-33672D01* -X18169Y-33668D01* -X18169Y-33662D01* -X18169Y-33655D01* -X18169Y-33647D01* -X18168Y-33637D01* -X18168Y-33625D01* -X18168Y-33612D01* -X18167Y-33598D01* -X18167Y-33581D01* -X18166Y-33563D01* -X18166Y-33543D01* -X18165Y-33521D01* -X18164Y-33496D01* -X18163Y-33470D01* -X18163Y-33453D01* -X18162Y-33441D01* -X16543Y-33441D01* -X16543Y-33441D01* -X16543Y-33440D01* -X16543Y-33438D01* -X16543Y-33435D01* -X16543Y-33431D01* -X16543Y-33427D01* -X16543Y-33421D01* -X16543Y-33415D01* -X16543Y-33407D01* -X16543Y-33398D01* -X16543Y-33389D01* -X16542Y-33378D01* -X16542Y-33366D01* -X16542Y-33353D01* -X16542Y-33339D01* -X16542Y-33323D01* -X16542Y-33306D01* -X16542Y-33288D01* -X16542Y-33268D01* -X16542Y-33248D01* -X16542Y-33225D01* -X16542Y-33201D01* -X16542Y-33176D01* -X16542Y-33149D01* -X16542Y-33121D01* -X16542Y-33091D01* -X16542Y-33060D01* -X16542Y-33027D01* -X16542Y-32992D01* -X16542Y-32955D01* -X16542Y-32917D01* -X16542Y-32877D01* -X16542Y-32835D01* -X16542Y-32791D01* -X16542Y-32746D01* -X16542Y-32698D01* -X16542Y-32649D01* -X16542Y-32597D01* -X16542Y-32544D01* -X16542Y-32488D01* -X16542Y-32430D01* -X16542Y-32371D01* -X16542Y-32309D01* -X16542Y-32245D01* -X16542Y-32204D01* -X16542Y-30967D01* -X17741Y-30967D01* -X17805Y-30967D01* -X17867Y-30967D01* -X17927Y-30967D01* -X17985Y-30967D01* -X18041Y-30967D01* -X18094Y-30967D01* -X18146Y-30967D01* -X18196Y-30967D01* -X18243Y-30967D01* -X18289Y-30967D01* -X18333Y-30967D01* -X18375Y-30967D01* -X18415Y-30967D01* -X18454Y-30967D01* -X18490Y-30967D01* -X18525Y-30967D01* -X18558Y-30967D01* -X18590Y-30967D01* -X18620Y-30967D01* -X18648Y-30967D01* -X18675Y-30967D01* -X18700Y-30968D01* -X18724Y-30968D01* -X18746Y-30968D01* -X18767Y-30968D01* -X18787Y-30968D01* -X18805Y-30968D01* -X18822Y-30968D01* -X18837Y-30968D01* -X18851Y-30968D01* -X18864Y-30968D01* -X18876Y-30968D01* -X18887Y-30968D01* -X18897Y-30968D01* -X18905Y-30968D01* -X18913Y-30968D01* -X18919Y-30968D01* -X18924Y-30968D01* -X18929Y-30968D01* -X18933Y-30968D01* -X18935Y-30968D01* -X18937Y-30969D01* -X18938Y-30969D01* -X18938Y-30969D01* -X18938Y-30970D01* -X18936Y-30973D01* -X18933Y-30977D01* -X18929Y-30983D01* -X18924Y-30990D01* -X18918Y-30998D01* -X18912Y-31008D01* -X18905Y-31018D01* -X18898Y-31029D01* -X18890Y-31041D01* -X18882Y-31053D01* -X18826Y-31136D01* -X18765Y-31054D01* -X18756Y-31042D01* -X18747Y-31030D01* -X18739Y-31020D01* -X18731Y-31010D01* -X18724Y-31001D01* -X18718Y-30992D01* -X18713Y-30986D01* -X18708Y-30980D01* -X18705Y-30976D01* -X18703Y-30973D01* -X18702Y-30972D01* -X18702Y-30972D01* -X18700Y-30973D01* -X18698Y-30975D01* -X18695Y-30978D01* -X18692Y-30982D01* -X18689Y-30985D01* -X18687Y-30988D01* -X18687Y-30989D01* -X18686Y-30991D01* -X18686Y-30994D01* -X18685Y-31000D01* -X18683Y-31007D01* -X18682Y-31017D01* -X18679Y-31028D01* -X18677Y-31041D01* -X18674Y-31055D01* -X18671Y-31071D01* -X18668Y-31088D01* -X18665Y-31107D01* -X18661Y-31126D01* -X18657Y-31147D01* -X18653Y-31169D01* -X18649Y-31192D01* -X18645Y-31215D01* -X18640Y-31240D01* -X18635Y-31265D01* -X18631Y-31291D01* -X18629Y-31300D01* -X18624Y-31326D01* -X18620Y-31351D01* -X18615Y-31376D01* -X18611Y-31400D01* -X18606Y-31423D01* -X18602Y-31445D01* -X18598Y-31466D01* -X18594Y-31486D01* -X18591Y-31505D01* -X18588Y-31523D01* -X18584Y-31540D01* -X18582Y-31554D01* -X18579Y-31568D01* -X18577Y-31580D01* -X18575Y-31590D01* -X18573Y-31598D01* -X18572Y-31604D01* -X18571Y-31608D01* -X18571Y-31611D01* -X18571Y-31611D01* -X18567Y-31618D01* -X18563Y-31625D01* -X18556Y-31631D01* -X18555Y-31632D01* -X18555Y-31633D01* -X18554Y-31633D01* -X18553Y-31634D01* -X18551Y-31635D01* -X18549Y-31636D01* -X18547Y-31637D01* -X18544Y-31638D01* -X18541Y-31640D01* -X18537Y-31642D01* -X18532Y-31644D01* -X18527Y-31647D01* -X18520Y-31650D01* -X18512Y-31653D01* -X18504Y-31657D01* -X18494Y-31661D01* -X18483Y-31666D01* -X18470Y-31671D01* -X18456Y-31677D01* -X18441Y-31684D01* -X18424Y-31691D01* -X18405Y-31699D01* -X18384Y-31708D01* -X18362Y-31718D01* -X18338Y-31728D01* -X18323Y-31735D01* -X18096Y-31832D01* -X18082Y-31832D01* -X18077Y-31832D01* -X18072Y-31832D01* -X18069Y-31831D01* -X18067Y-31830D01* -X18064Y-31829D01* -X18062Y-31828D01* -X18061Y-31827D01* -X18058Y-31825D01* -X18053Y-31822D01* -X18046Y-31818D01* -X18038Y-31812D01* -X18028Y-31806D01* -X18018Y-31798D01* -X18005Y-31790D01* -X17992Y-31781D01* -X17977Y-31771D01* -X17962Y-31760D01* -X17945Y-31749D01* -X17927Y-31737D01* -X17909Y-31724D01* -X17889Y-31711D01* -X17869Y-31697D01* -X17849Y-31683D01* -X17828Y-31668D01* -X17806Y-31654D01* -X17797Y-31647D01* -X17775Y-31632D01* -X17754Y-31618D01* -X17733Y-31603D01* -X17713Y-31590D01* -X17693Y-31576D01* -X17674Y-31563D01* -X17656Y-31551D01* -X17639Y-31539D01* -X17623Y-31528D01* -X17608Y-31518D01* -X17594Y-31509D01* -X17581Y-31500D01* -X17570Y-31492D01* -X17560Y-31485D01* -X17551Y-31479D01* -X17544Y-31474D01* -X17539Y-31471D01* -X17535Y-31468D01* -X17532Y-31467D01* -X17532Y-31466D01* -X17529Y-31465D01* -X17526Y-31464D01* -X17522Y-31464D01* -X17518Y-31464D01* -X17518Y-31464D01* -X17514Y-31464D01* -X17512Y-31464D01* -X17509Y-31465D01* -X17506Y-31466D01* -X17504Y-31467D01* -X17501Y-31469D01* -X17497Y-31471D01* -X17493Y-31475D01* -X17489Y-31479D01* -X17483Y-31484D01* -X17476Y-31491D01* -X17468Y-31499D01* -X17459Y-31508D01* -X17457Y-31510D01* -X17449Y-31518D01* -X17442Y-31526D01* -X17435Y-31532D01* -X17429Y-31538D01* -X17424Y-31543D01* -X17420Y-31547D01* -X17417Y-31550D01* -X17416Y-31552D01* -X17416Y-31552D01* -X17416Y-31553D01* -X17418Y-31556D01* -X17420Y-31560D01* -X17424Y-31566D01* -X17428Y-31574D01* -X17433Y-31583D01* -X17438Y-31593D01* -X17444Y-31604D01* -X17451Y-31616D01* -X17458Y-31628D01* -X17465Y-31642D01* -X17470Y-31650D01* -X17477Y-31664D01* -X17485Y-31677D01* -X17492Y-31689D01* -X17498Y-31701D01* -X17504Y-31711D01* -X17509Y-31721D01* -X17514Y-31729D01* -X17517Y-31736D01* -X17520Y-31741D01* -X17522Y-31745D01* -X17523Y-31747D01* -X17524Y-31747D01* -X17523Y-31747D01* -X17520Y-31745D01* -X17516Y-31742D01* -X17510Y-31738D01* -X17504Y-31733D01* -X17496Y-31728D01* -X17487Y-31722D01* -X17477Y-31715D01* -X17467Y-31708D01* -X17457Y-31700D01* -X17446Y-31693D01* -X17434Y-31685D01* -X17423Y-31677D01* -X17412Y-31669D01* -X17401Y-31662D01* -X17391Y-31654D01* -X17381Y-31647D01* -X17372Y-31641D01* -X17364Y-31635D01* -X17356Y-31630D01* -X17352Y-31627D01* -X17345Y-31622D01* -X17293Y-31674D01* -X17281Y-31686D01* -X17271Y-31696D01* -X17263Y-31704D01* -X17256Y-31711D01* -X17250Y-31717D01* -X17246Y-31722D01* -X17243Y-31725D01* -X17241Y-31727D01* -X17241Y-31729D01* -X17241Y-31729D01* -X17241Y-31730D01* -X17243Y-31733D01* -X17245Y-31737D01* -X17249Y-31744D01* -X17253Y-31751D01* -X17258Y-31761D01* -X17264Y-31771D01* -X17270Y-31783D01* -X17277Y-31795D01* -X17284Y-31809D01* -X17292Y-31823D01* -X17300Y-31839D01* -X17308Y-31854D01* -X17317Y-31871D01* -X17326Y-31887D01* -X17335Y-31904D01* -X17344Y-31921D01* -X17354Y-31938D01* -X17363Y-31955D01* -X17372Y-31971D01* -X17381Y-31988D01* -X17389Y-32004D01* -X17398Y-32019D01* -X17405Y-32033D01* -X17413Y-32047D01* -X17420Y-32060D01* -X17427Y-32072D01* -X17432Y-32083D01* -X17438Y-32093D01* -X17442Y-32101D01* -X17446Y-32108D01* -X17449Y-32113D01* -X17451Y-32116D01* -X17451Y-32118D01* -X17451Y-32118D01* -X17449Y-32116D01* -X17446Y-32113D01* -X17441Y-32109D01* -X17437Y-32105D01* -X17436Y-32104D01* -X17425Y-32094D01* -X17413Y-32084D01* -X17400Y-32072D01* -X17387Y-32060D01* -X17373Y-32048D01* -X17359Y-32035D01* -X17344Y-32022D01* -X17330Y-32009D01* -X17315Y-31996D01* -X17300Y-31983D01* -X17285Y-31969D01* -X17270Y-31956D01* -X17256Y-31944D01* -X17242Y-31931D01* -X17228Y-31919D01* -X17215Y-31907D01* -X17203Y-31896D01* -X17191Y-31886D01* -X17180Y-31876D01* -X17170Y-31867D01* -X17161Y-31859D01* -X17153Y-31852D01* -X17147Y-31846D01* -X17141Y-31841D01* -X17137Y-31838D01* -X17135Y-31836D01* -X17134Y-31835D01* -X17134Y-31835D01* -X17133Y-31835D01* -X17130Y-31838D01* -X17127Y-31841D01* -X17122Y-31845D01* -X17117Y-31850D01* -X17111Y-31856D01* -X17105Y-31862D01* -X17099Y-31868D01* -X17093Y-31874D01* -X17088Y-31879D01* -X17083Y-31885D01* -X17079Y-31889D01* -X17076Y-31893D01* -X17074Y-31895D01* -X17073Y-31896D01* -X17074Y-31897D01* -X17076Y-31900D01* -X17079Y-31904D01* -X17083Y-31910D01* -X17089Y-31917D01* -X17095Y-31925D01* -X17102Y-31934D01* -X17110Y-31945D01* -X17118Y-31956D01* -X17128Y-31967D01* -X17137Y-31980D01* -X17147Y-31993D01* -X17149Y-31995D01* -X17159Y-32008D01* -X17168Y-32020D01* -X17177Y-32032D01* -X17186Y-32043D01* -X17194Y-32053D01* -X17201Y-32063D01* -X17207Y-32071D01* -X17213Y-32078D01* -X17217Y-32084D01* -X17220Y-32088D01* -X17222Y-32091D01* -X17223Y-32092D01* -X17223Y-32092D01* -X17222Y-32092D01* -X17219Y-32092D01* -X17214Y-32092D01* -X17208Y-32091D01* -X17201Y-32090D01* -X17193Y-32089D01* -X17184Y-32088D01* -X17175Y-32087D01* -X17166Y-32086D01* -X17158Y-32085D01* -X17150Y-32084D01* -X17143Y-32083D01* -X17137Y-32082D01* -X17132Y-32081D01* -X17129Y-32081D01* -X17128Y-32081D01* -X17127Y-32081D01* -X17126Y-32082D01* -X17127Y-32083D01* -X17129Y-32085D01* -X17132Y-32090D01* -X17136Y-32096D01* -X17141Y-32103D01* -X17147Y-32112D01* -X17154Y-32123D01* -X17162Y-32134D01* -X17171Y-32147D01* -X17180Y-32161D01* -X17190Y-32176D01* -X17201Y-32191D01* -X17213Y-32208D01* -X17225Y-32225D01* -X17237Y-32243D01* -X17250Y-32262D01* -X17263Y-32281D01* -X17267Y-32286D01* -X17280Y-32306D01* -X17293Y-32325D01* -X17306Y-32343D01* -X17318Y-32361D01* -X17330Y-32377D01* -X17341Y-32394D01* -X17351Y-32409D01* -X17361Y-32423D01* -X17370Y-32437D01* -X17378Y-32449D01* -X17386Y-32460D01* -X17392Y-32469D01* -X17398Y-32478D01* -X17403Y-32484D01* -X17406Y-32490D01* -X17409Y-32493D01* -X17410Y-32495D01* -X17410Y-32495D01* -X17413Y-32504D01* -X17414Y-32513D01* -X17413Y-32523D01* -X17411Y-32534D01* -X17410Y-32538D01* -X17407Y-32544D01* -X17404Y-32552D01* -X17400Y-32562D01* -X17395Y-32573D01* -X17390Y-32586D01* -X17385Y-32599D01* -X17379Y-32614D01* -X17372Y-32629D01* -X17366Y-32645D01* -X17359Y-32662D01* -X17352Y-32680D01* -X17344Y-32698D01* -X17337Y-32716D01* -X17329Y-32734D01* -X17322Y-32753D01* -X17314Y-32771D01* -X17307Y-32790D01* -X17299Y-32808D01* -X17292Y-32825D01* -X17285Y-32843D01* -X17278Y-32859D01* -X17272Y-32875D01* -X17265Y-32890D01* -X17260Y-32904D01* -X17254Y-32917D01* -X17250Y-32929D01* -X17245Y-32940D01* -X17242Y-32949D01* -X17238Y-32956D01* -X17236Y-32962D01* -X17234Y-32966D01* -X17233Y-32968D01* -X17233Y-32968D01* -X17230Y-32973D01* -X17226Y-32978D01* -X17221Y-32982D01* -X17216Y-32986D01* -X17211Y-32989D01* -X17211Y-32990D01* -X17210Y-32990D01* -X17209Y-32990D01* -X17207Y-32991D01* -X17204Y-32992D01* -X17201Y-32992D01* -X17197Y-32993D01* -X17193Y-32994D01* -X17187Y-32995D01* -X17180Y-32997D01* -X17172Y-32998D01* -X17163Y-33000D01* -X17153Y-33002D01* -X17142Y-33004D01* -X17129Y-33006D01* -X17115Y-33009D01* -X17099Y-33012D01* -X17082Y-33015D01* -X17063Y-33019D01* -X17042Y-33023D01* -X17020Y-33027D01* -X16995Y-33032D01* -X16969Y-33037D01* -X16940Y-33042D01* -X16912Y-33047D01* -X16887Y-33052D01* -X16862Y-33056D01* -X16838Y-33061D01* -X16815Y-33065D01* -X16792Y-33069D01* -X16771Y-33073D01* -X16750Y-33077D01* -X16731Y-33081D01* -X16713Y-33084D01* -X16696Y-33087D01* -X16681Y-33090D01* -X16667Y-33093D01* -X16655Y-33095D01* -X16644Y-33097D01* -X16635Y-33099D01* -X16628Y-33100D01* -X16623Y-33101D01* -X16619Y-33102D01* -X16618Y-33102D01* -X16619Y-33103D01* -X16622Y-33105D01* -X16626Y-33108D01* -X16631Y-33112D01* -X16638Y-33116D01* -X16646Y-33122D01* -X16654Y-33128D01* -X16664Y-33135D01* -X16674Y-33142D01* -X16685Y-33149D01* -X16696Y-33157D01* -X16708Y-33165D01* -X16719Y-33172D01* -X16731Y-33180D01* -X16742Y-33188D01* -X16743Y-33188D01* -X16770Y-33206D01* -X16799Y-33225D01* -X16828Y-33244D01* -X16858Y-33264D01* -X16889Y-33283D01* -X16920Y-33303D01* -X16950Y-33322D01* -X16979Y-33340D01* -X16981Y-33342D01* -X16987Y-33345D01* -X16991Y-33348D01* -X16993Y-33350D01* -X16994Y-33351D01* -X16994Y-33351D01* -X16992Y-33352D01* -X16989Y-33352D01* -X16984Y-33354D01* -X16976Y-33355D01* -X16967Y-33357D01* -X16957Y-33359D01* -X16944Y-33361D01* -X16931Y-33364D01* -X16916Y-33367D01* -X16899Y-33370D01* -X16882Y-33374D01* -X16863Y-33378D01* -X16844Y-33381D01* -X16824Y-33385D01* -X16802Y-33390D01* -X16781Y-33394D01* -X16768Y-33396D01* -X16746Y-33401D01* -X16725Y-33405D01* -X16704Y-33409D01* -X16684Y-33413D01* -X16665Y-33417D01* -X16647Y-33420D01* -X16630Y-33424D01* -X16614Y-33427D01* -X16600Y-33430D01* -X16587Y-33432D01* -X16575Y-33435D01* -X16565Y-33437D01* -X16557Y-33438D01* -X16551Y-33440D01* -X16546Y-33441D01* -X16544Y-33441D01* -X16543Y-33441D01* -X18162Y-33441D01* -X18162Y-33431D01* -X18162Y-33410D01* -X18161Y-33390D01* -X18160Y-33370D01* -X18160Y-33352D01* -X18159Y-33334D01* -X18159Y-33317D01* -X18158Y-33302D01* -X18158Y-33288D01* -X18157Y-33276D01* -X18157Y-33265D01* -X18157Y-33256D01* -X18156Y-33249D01* -X18156Y-33243D01* -X18156Y-33240D01* -X18156Y-33239D01* -X18156Y-33239D01* -X18157Y-33239D01* -X18161Y-33239D01* -X18166Y-33240D01* -X18172Y-33242D01* -X18181Y-33243D01* -X18190Y-33245D01* -X18202Y-33248D01* -X18214Y-33250D01* -X18227Y-33253D01* -X18241Y-33256D01* -X18256Y-33259D01* -X18260Y-33260D01* -X18275Y-33263D01* -X18289Y-33266D01* -X18303Y-33269D01* -X18315Y-33272D01* -X18327Y-33274D01* -X18337Y-33276D01* -X18346Y-33278D01* -X18353Y-33280D01* -X18359Y-33281D01* -X18363Y-33282D01* -X18364Y-33282D01* -X18364Y-33282D01* -X18365Y-33281D01* -X18366Y-33278D01* -X18368Y-33274D01* -X18369Y-33269D01* -X18370Y-33265D01* -X18377Y-33243D01* -X18386Y-33219D01* -X18395Y-33196D01* -X18404Y-33173D01* -X18412Y-33157D01* -X18421Y-33139D01* -X18414Y-33133D01* -X18412Y-33131D01* -X18409Y-33128D01* -X18404Y-33123D01* -X18398Y-33118D01* -X18391Y-33112D01* -X18384Y-33105D01* -X18376Y-33097D01* -X18368Y-33089D01* -X18367Y-33089D01* -X18327Y-33051D01* -X18256Y-32894D01* -X18247Y-32875D01* -X18238Y-32856D01* -X18230Y-32838D01* -X18223Y-32822D01* -X18216Y-32807D01* -X18210Y-32793D01* -X18204Y-32780D01* -X18199Y-32769D01* -X18195Y-32759D01* -X18191Y-32751D01* -X18189Y-32745D01* -X18187Y-32740D01* -X18186Y-32737D01* -X18185Y-32737D01* -X18186Y-32736D01* -X18189Y-32734D01* -X18194Y-32731D01* -X18200Y-32727D01* -X18208Y-32722D01* -X18217Y-32716D01* -X18227Y-32710D01* -X18239Y-32702D01* -X18251Y-32694D01* -X18265Y-32685D01* -X18280Y-32676D01* -X18296Y-32666D01* -X18312Y-32655D01* -X18329Y-32644D01* -X18347Y-32633D01* -X18363Y-32623D01* -X18385Y-32609D01* -X18405Y-32596D01* -X18423Y-32584D01* -X18440Y-32574D01* -X18455Y-32564D01* -X18469Y-32556D01* -X18481Y-32548D01* -X18491Y-32541D01* -X18501Y-32535D01* -X18509Y-32530D01* -X18516Y-32526D01* -X18522Y-32522D01* -X18527Y-32519D01* -X18532Y-32516D01* -X18535Y-32514D01* -X18538Y-32513D01* -X18540Y-32512D01* -X18541Y-32511D01* -X18542Y-32511D01* -X18542Y-32511D01* -X18543Y-32512D01* -X18546Y-32514D01* -X18550Y-32518D01* -X18556Y-32522D01* -X18563Y-32528D01* -X18571Y-32535D01* -X18581Y-32543D01* -X18591Y-32552D01* -X18603Y-32562D01* -X18616Y-32572D01* -X18629Y-32583D01* -X18644Y-32595D01* -X18659Y-32608D01* -X18674Y-32621D01* -X18690Y-32634D01* -X18705Y-32647D01* -X18722Y-32660D01* -X18738Y-32674D01* -X18753Y-32687D01* -X18768Y-32699D01* -X18782Y-32711D01* -X18796Y-32722D01* -X18808Y-32733D01* -X18820Y-32742D01* -X18831Y-32751D01* -X18840Y-32759D01* -X18848Y-32766D01* -X18855Y-32772D01* -X18861Y-32776D01* -X18865Y-32780D01* -X18867Y-32782D01* -X18868Y-32782D01* -X18870Y-32783D01* -X18871Y-32783D01* -X18874Y-32783D01* -X18878Y-32782D01* -X18884Y-32781D01* -X18886Y-32780D01* -X18908Y-32776D01* -X18929Y-32772D01* -X18948Y-32769D01* -X18967Y-32767D01* -X18968Y-32767D01* -X18974Y-32766D01* -X18978Y-32765D01* -X18980Y-32765D01* -X18981Y-32764D01* -X18982Y-32763D01* -X18982Y-32762D01* -X18982Y-32759D01* -X18983Y-32753D01* -X18984Y-32746D01* -X18985Y-32738D01* -X18986Y-32728D01* -X18987Y-32717D01* -X18989Y-32705D01* -X18990Y-32692D01* -X18992Y-32678D01* -X18994Y-32664D01* -X18995Y-32650D01* -X18997Y-32635D01* -X18999Y-32621D01* -X19001Y-32606D01* -X19003Y-32592D01* -X19004Y-32579D01* -X19006Y-32566D01* -X19007Y-32554D01* -X19009Y-32543D01* -X19010Y-32534D01* -X19011Y-32525D01* -X19012Y-32519D01* -X19012Y-32514D01* -X19013Y-32510D01* -X19013Y-32509D01* -X19014Y-32509D01* -X19017Y-32508D01* -X19022Y-32507D01* -X19029Y-32505D01* -X19038Y-32503D01* -X19048Y-32501D01* -X19060Y-32498D01* -X19073Y-32495D01* -X19088Y-32491D01* -X19103Y-32487D01* -X19120Y-32483D01* -X19137Y-32479D01* -X19155Y-32475D01* -X19174Y-32470D01* -X19189Y-32467D01* -X19208Y-32462D01* -X19227Y-32457D01* -X19245Y-32453D01* -X19262Y-32449D01* -X19279Y-32445D01* -X19294Y-32441D01* -X19308Y-32438D01* -X19321Y-32435D01* -X19333Y-32432D01* -X19343Y-32429D01* -X19351Y-32427D01* -X19358Y-32426D01* -X19363Y-32425D01* -X19366Y-32424D01* -X19366Y-32424D01* -X19368Y-32424D01* -X19368Y-32424D01* -X19368Y-32426D01* -X19368Y-32429D01* -X19368Y-32431D01* -X19367Y-32435D01* -X19366Y-32441D01* -X19365Y-32448D01* -X19364Y-32457D01* -X19363Y-32467D01* -X19362Y-32478D01* -X19360Y-32489D01* -X19360Y-32495D01* -X19357Y-32514D01* -X19354Y-32534D01* -X19352Y-32555D01* -X19349Y-32575D01* -X19347Y-32596D01* -X19344Y-32616D01* -X19342Y-32636D01* -X19340Y-32656D01* -X19337Y-32675D01* -X19335Y-32693D01* -X19333Y-32711D01* -X19331Y-32728D01* -X19329Y-32744D01* -X19328Y-32759D01* -X19326Y-32773D01* -X19325Y-32785D01* -X19324Y-32796D01* -X19323Y-32805D01* -X19323Y-32812D01* -X19322Y-32818D01* -X19322Y-32822D01* -X19322Y-32824D01* -X19322Y-32824D01* -X19323Y-32824D01* -X19326Y-32826D01* -X19331Y-32828D01* -X19336Y-32830D01* -X19337Y-32831D01* -X19370Y-32848D01* -X19403Y-32867D01* -X19435Y-32888D01* -X19466Y-32910D01* -X19494Y-32934D01* -X19522Y-32960D01* -X19533Y-32971D01* -X19537Y-32975D01* -X19541Y-32978D01* -X19543Y-32980D01* -X19545Y-32981D01* -X19545Y-32981D01* -X19546Y-32981D01* -X19550Y-32979D01* -X19556Y-32977D01* -X19562Y-32974D01* -X19571Y-32970D01* -X19580Y-32966D01* -X19591Y-32961D01* -X19602Y-32956D01* -X19614Y-32950D01* -X19627Y-32944D01* -X19640Y-32937D01* -X19654Y-32931D01* -X19667Y-32924D01* -X19674Y-32921D01* -X19683Y-32916D01* -X19692Y-32911D01* -X19700Y-32907D01* -X19708Y-32904D01* -X19714Y-32901D01* -X19718Y-32899D01* -X19721Y-32897D01* -X19722Y-32897D01* -X19722Y-32898D01* -X19721Y-32901D01* -X19718Y-32905D01* -X19715Y-32911D01* -X19711Y-32919D01* -X19707Y-32928D01* -X19702Y-32938D01* -X19696Y-32949D01* -X19690Y-32961D01* -X19684Y-32974D01* -X19677Y-32988D01* -X19675Y-32993D01* -X19627Y-33088D01* -X19635Y-33098D01* -X19639Y-33103D01* -X19642Y-33107D01* -X19645Y-33111D01* -X19648Y-33115D01* -X19651Y-33120D01* -X19654Y-33126D01* -X19659Y-33133D01* -X19664Y-33141D01* -X19667Y-33147D01* -X19671Y-33154D01* -X19675Y-33160D01* -X19679Y-33166D01* -X19682Y-33171D01* -X19684Y-33175D01* -X19685Y-33176D01* -X19689Y-33180D01* -X19693Y-33183D01* -X19696Y-33184D01* -X19700Y-33186D01* -X19703Y-33187D01* -X19707Y-33187D01* -X19710Y-33187D01* -X19719Y-33187D01* -X20241Y-32971D01* -X20274Y-32957D01* -X20307Y-32944D01* -X20339Y-32930D01* -X20370Y-32917D01* -X20400Y-32905D01* -X20430Y-32892D01* -X20460Y-32880D01* -X20488Y-32869D01* -X20515Y-32857D01* -X20542Y-32846D01* -X20567Y-32836D01* -X20591Y-32826D01* -X20614Y-32816D01* -X20636Y-32807D01* -X20657Y-32798D01* -X20676Y-32790D01* -X20694Y-32783D01* -X20710Y-32776D01* -X20725Y-32770D01* -X20738Y-32765D01* -X20750Y-32760D01* -X20759Y-32756D01* -X20767Y-32753D01* -X20773Y-32750D01* -X20778Y-32748D01* -X20780Y-32748D01* -X20780Y-32747D01* -X20788Y-32744D01* -X20795Y-32740D01* -X20800Y-32736D01* -X20803Y-32732D01* -X20805Y-32728D01* -X20806Y-32722D01* -X20806Y-32717D01* -X20805Y-32716D01* -X20805Y-32715D01* -X20805Y-32713D01* -X20804Y-32712D01* -X20803Y-32710D01* -X20802Y-32707D01* -X20801Y-32704D01* -X20799Y-32699D01* -X20796Y-32695D01* -X20793Y-32689D01* -X20790Y-32681D01* -X20785Y-32673D01* -X20780Y-32664D01* -X20774Y-32652D01* -X20767Y-32640D01* -X20760Y-32625D01* -X20751Y-32609D01* -X20747Y-32601D01* -X20739Y-32587D01* -X20732Y-32573D01* -X20725Y-32559D01* -X20718Y-32546D01* -X20711Y-32534D01* -X20706Y-32524D01* -X20701Y-32514D01* -X20696Y-32506D01* -X20693Y-32499D01* -X20690Y-32493D01* -X20688Y-32489D01* -X20687Y-32487D01* -X20687Y-32487D01* -X20685Y-32481D01* -X20684Y-32473D01* -X20684Y-32465D01* -X20686Y-32459D01* -X20686Y-32458D01* -X20686Y-32458D01* -X20686Y-32457D01* -X20686Y-32457D01* -X20686Y-32456D01* -X20686Y-32456D01* -X20686Y-32455D01* -X20687Y-32454D01* -X20687Y-32453D01* -X20688Y-32451D01* -X20690Y-32449D01* -X20691Y-32447D01* -X20693Y-32444D01* -X20696Y-32440D01* -X20699Y-32436D01* -X20702Y-32431D01* -X20706Y-32425D01* -X20711Y-32418D01* -X20716Y-32410D01* -X20722Y-32402D01* -X20729Y-32392D01* -X20736Y-32381D01* -X20744Y-32369D01* -X20754Y-32356D01* -X20764Y-32341D01* -X20775Y-32325D01* -X20787Y-32307D01* -X20800Y-32288D01* -X20814Y-32267D01* -X20830Y-32245D01* -X20846Y-32221D01* -X20864Y-32196D01* -X20881Y-32171D01* -X20897Y-32147D01* -X20912Y-32125D01* -X20927Y-32104D01* -X20941Y-32083D01* -X20954Y-32065D01* -X20966Y-32047D01* -X20977Y-32031D01* -X20987Y-32016D01* -X20996Y-32003D01* -X21004Y-31991D01* -X21011Y-31981D01* -X21016Y-31972D01* -X21021Y-31965D01* -X21024Y-31960D01* -X21027Y-31956D01* -X21028Y-31955D01* -X21028Y-31955D01* -X21029Y-31950D01* -X21029Y-31943D01* -X21029Y-31941D01* -X21029Y-31937D01* -X21028Y-31933D01* -X21027Y-31929D01* -X21025Y-31925D01* -X21022Y-31921D01* -X21018Y-31917D01* -X21013Y-31911D01* -X21007Y-31905D01* -X21003Y-31901D01* -X20984Y-31881D01* -X20791Y-31958D01* -X20599Y-32036D01* -X20604Y-32030D01* -X20610Y-32022D01* -X20618Y-32013D01* -X20626Y-32003D01* -X20635Y-31992D01* -X20645Y-31981D01* -X20655Y-31968D01* -X20665Y-31956D01* -X20677Y-31942D01* -X20688Y-31929D01* -X20699Y-31915D01* -X20711Y-31901D01* -X20723Y-31887D01* -X20734Y-31873D01* -X20746Y-31859D01* -X20757Y-31845D01* -X20768Y-31832D01* -X20779Y-31819D01* -X20789Y-31807D01* -X20798Y-31796D01* -X20807Y-31785D01* -X20815Y-31775D01* -X20822Y-31766D01* -X20828Y-31759D01* -X20834Y-31752D01* -X20838Y-31747D01* -X20841Y-31744D01* -X20842Y-31742D01* -X20843Y-31741D01* -X20842Y-31740D01* -X20840Y-31738D01* -X20836Y-31734D01* -X20831Y-31729D01* -X20826Y-31724D01* -X20819Y-31717D01* -X20812Y-31710D01* -X20804Y-31702D01* -X20800Y-31698D01* -X20758Y-31656D01* -X20621Y-31689D01* -X20604Y-31693D01* -X20588Y-31697D01* -X20572Y-31701D01* -X20557Y-31704D01* -X20544Y-31707D01* -X20531Y-31711D01* -X20519Y-31713D01* -X20509Y-31716D01* -X20501Y-31718D01* -X20494Y-31719D01* -X20489Y-31721D01* -X20486Y-31721D01* -X20484Y-31722D01* -X20485Y-31721D01* -X20486Y-31718D01* -X20489Y-31713D01* -X20492Y-31707D01* -X20496Y-31700D01* -X20501Y-31691D01* -X20507Y-31681D01* -X20513Y-31670D01* -X20519Y-31657D01* -X20527Y-31644D01* -X20534Y-31631D01* -X20542Y-31616D01* -X20544Y-31612D01* -X20605Y-31502D01* -X20589Y-31486D01* -X20582Y-31479D01* -X20576Y-31474D01* -X20571Y-31470D01* -X20567Y-31468D01* -X20563Y-31466D01* -X20558Y-31465D01* -X20554Y-31464D01* -X20552Y-31464D01* -X20546Y-31464D01* -X20541Y-31464D01* -X20538Y-31465D01* -X20537Y-31466D01* -X20533Y-31468D01* -X20528Y-31472D01* -X20522Y-31476D01* -X20514Y-31481D01* -X20504Y-31488D01* -X20493Y-31495D01* -X20480Y-31504D01* -X20466Y-31513D01* -X20451Y-31524D01* -X20435Y-31535D01* -X20417Y-31547D01* -X20399Y-31560D01* -X20379Y-31573D01* -X20358Y-31587D01* -X20337Y-31602D01* -X20314Y-31617D01* -X20291Y-31633D01* -X20284Y-31638D01* -X20262Y-31653D01* -X20242Y-31667D01* -X20221Y-31681D01* -X20201Y-31695D01* -X20182Y-31708D01* -X20164Y-31721D01* -X20146Y-31733D01* -X20130Y-31744D01* -X20114Y-31755D01* -X20100Y-31765D01* -X20086Y-31774D01* -X20074Y-31782D01* -X20063Y-31789D01* -X20053Y-31796D01* -X20045Y-31801D01* -X20039Y-31806D01* -X20034Y-31809D01* -X20031Y-31811D01* -X20029Y-31812D01* -X20029Y-31812D01* -X20026Y-31813D01* -X20023Y-31814D01* -X20020Y-31815D01* -X20016Y-31815D01* -X20011Y-31815D01* -X20010Y-31815D01* -X19997Y-31815D01* -X19758Y-31719D01* -X19734Y-31710D01* -X19711Y-31700D01* -X19689Y-31692D01* -X19668Y-31683D01* -X19648Y-31675D01* -X19629Y-31667D01* -X19611Y-31660D01* -X19594Y-31653D01* -X19579Y-31647D01* -X19565Y-31641D01* -X19552Y-31636D01* -X19541Y-31632D01* -X19532Y-31628D01* -X19525Y-31625D01* -X19519Y-31623D01* -X19515Y-31621D01* -X19513Y-31620D01* -X19513Y-31620D01* -X19510Y-31617D01* -X19506Y-31614D01* -X19504Y-31611D01* -X19503Y-31610D01* -X19502Y-31609D01* -X19501Y-31609D01* -X19501Y-31608D01* -X19500Y-31607D01* -X19499Y-31605D01* -X19498Y-31604D01* -X19498Y-31602D01* -X19497Y-31600D01* -X19496Y-31597D01* -X19495Y-31594D01* -X19494Y-31590D01* -X19493Y-31585D01* -X19493Y-31580D01* -X19491Y-31573D01* -X19490Y-31566D01* -X19488Y-31558D01* -X19486Y-31548D01* -X19484Y-31537D01* -X19482Y-31525D01* -X19479Y-31512D01* -X19477Y-31497D01* -X19473Y-31481D01* -X19470Y-31463D01* -X19466Y-31443D01* -X19466Y-31442D01* -X18986Y-31442D01* -X18987Y-31441D01* -X18988Y-31438D01* -X18989Y-31433D01* -X18991Y-31426D01* -X18993Y-31417D01* -X18996Y-31406D01* -X18999Y-31394D01* -X19002Y-31381D01* -X19006Y-31366D01* -X19010Y-31350D01* -X19015Y-31332D01* -X19019Y-31314D01* -X19024Y-31295D01* -X19030Y-31274D01* -X19035Y-31253D01* -X19041Y-31232D01* -X19047Y-31209D01* -X19048Y-31205D01* -X19053Y-31182D01* -X19059Y-31160D01* -X19065Y-31139D01* -X19070Y-31119D01* -X19075Y-31099D01* -X19080Y-31080D01* -X19084Y-31063D01* -X19089Y-31046D01* -X19093Y-31031D01* -X19096Y-31018D01* -X19099Y-31005D01* -X19102Y-30995D01* -X19104Y-30985D01* -X19106Y-30978D01* -X19108Y-30973D01* -X19109Y-30969D01* -X19109Y-30968D01* -X19109Y-30968D01* -X19110Y-30967D01* -X19113Y-30967D01* -X19118Y-30967D01* -X19124Y-30967D01* -X19132Y-30967D01* -X19141Y-30967D01* -X19151Y-30967D01* -X19156Y-30967D01* -X19203Y-30967D01* -X19201Y-30970D01* -X19201Y-30972D01* -X19199Y-30975D01* -X19197Y-30980D01* -X19194Y-30987D01* -X19190Y-30995D01* -X19186Y-31006D01* -X19180Y-31017D01* -X19174Y-31030D01* -X19168Y-31044D01* -X19161Y-31060D01* -X19153Y-31076D01* -X19145Y-31094D01* -X19137Y-31113D01* -X19128Y-31132D01* -X19119Y-31152D01* -X19109Y-31173D01* -X19099Y-31195D01* -X19093Y-31208D01* -X19084Y-31229D01* -X19074Y-31251D01* -X19065Y-31271D01* -X19056Y-31291D01* -X19047Y-31310D01* -X19039Y-31328D01* -X19031Y-31345D01* -X19024Y-31361D01* -X19017Y-31376D01* -X19011Y-31389D01* -X19005Y-31402D01* -X19000Y-31412D01* -X18996Y-31422D01* -X18992Y-31429D01* -X18990Y-31435D01* -X18988Y-31439D01* -X18987Y-31441D01* -X18986Y-31442D01* -X19466Y-31442D01* -X19462Y-31422D01* -X19458Y-31399D01* -X19454Y-31374D01* -X19449Y-31347D01* -X19443Y-31318D01* -X19439Y-31294D01* -X19434Y-31268D01* -X19429Y-31243D01* -X19425Y-31219D01* -X19420Y-31195D01* -X19416Y-31172D01* -X19412Y-31150D01* -X19408Y-31129D01* -X19404Y-31109D01* -X19401Y-31091D01* -X19398Y-31073D01* -X19395Y-31057D01* -X19392Y-31043D01* -X19390Y-31030D01* -X19387Y-31018D01* -X19386Y-31009D01* -X19384Y-31001D01* -X19383Y-30995D01* -X19382Y-30991D01* -X19382Y-30989D01* -X19382Y-30989D01* -X19379Y-30983D01* -X19375Y-30978D01* -X19370Y-30973D01* -X19367Y-30971D01* -X19361Y-30967D01* -X20902Y-30967D01* -X20942Y-30967D01* -X20979Y-30967D01* -X21013Y-30967D01* -X21046Y-30967D01* -X21076Y-30967D01* -X21105Y-30967D01* -X21131Y-30967D01* -X21155Y-30967D01* -X21176Y-30967D01* -X21196Y-30967D01* -X21214Y-30967D01* -X21229Y-30967D01* -X21242Y-30967D01* -X21253Y-30967D01* -X21263Y-30967D01* -X21270Y-30967D01* -X21275Y-30967D01* -X21278Y-30967D01* -X21275Y-30967D01* -X21270Y-30967D01* -X21263Y-30967D01* -X21254Y-30967D01* -X21243Y-30967D01* -X21230Y-30967D01* -X21215Y-30967D01* -X21199Y-30967D01* -X21180Y-30967D01* -X21160Y-30967D01* -X21137Y-30967D01* -X21113Y-30967D01* -X21087Y-30967D01* -X21059Y-30967D01* -X21029Y-30967D01* -X20998Y-30967D01* -X20964Y-30967D01* -X20929Y-30967D01* -X20892Y-30967D01* -X20853Y-30967D01* -X20813Y-30967D01* -X20771Y-30967D01* -X20727Y-30967D01* -X20681Y-30967D01* -X20633Y-30967D01* -X20584Y-30967D01* -X20533Y-30967D01* -X20481Y-30967D01* -X20427Y-30967D01* -X20371Y-30967D01* -X20313Y-30967D01* -X20254Y-30967D01* -X20193Y-30967D01* -X20131Y-30967D01* -X20067Y-30967D01* -X20002Y-30967D01* -X19934Y-30966D01* -X19866Y-30966D01* -X19795Y-30966D01* -X19724Y-30966D01* -X19650Y-30966D01* -X19576Y-30966D01* -X19499Y-30966D01* -X19493Y-30966D01* -X16541Y-30966D01* -X16541Y-33458D01* -X16541Y-33529D01* -X16541Y-33598D01* -X16541Y-33666D01* -X16541Y-33732D01* -X16541Y-33797D01* -X16541Y-33861D01* -X16541Y-33923D01* -X16541Y-33983D01* -X16541Y-34043D01* -X16541Y-34100D01* -X16541Y-34156D01* -X16541Y-34211D01* -X16541Y-34264D01* -X16541Y-34315D01* -X16541Y-34365D01* -X16541Y-34413D01* -X16541Y-34459D01* -X16541Y-34504D01* -X16541Y-34548D01* -X16541Y-34589D01* -X16541Y-34629D01* -X16541Y-34667D01* -X16541Y-34704D01* -X16541Y-34739D01* -X16541Y-34772D01* -X16541Y-34803D01* -X16541Y-34833D01* -X16541Y-34860D01* -X16541Y-34886D01* -X16541Y-34910D01* -X16541Y-34933D01* -X16541Y-34953D01* -X16541Y-34972D01* -X16541Y-34988D01* -X16541Y-35003D01* -X16541Y-35016D01* -X16541Y-35027D01* -X16541Y-35036D01* -X16541Y-35044D01* -X16541Y-35049D01* -X16541Y-35052D01* -X16541Y-35053D01* -X16541Y-35052D01* -X16541Y-35050D01* -X16541Y-35045D01* -X16541Y-35038D01* -X16541Y-35029D01* -X16541Y-35018D01* -X16541Y-35005D01* -X16541Y-34990D01* -X16541Y-34972D01* -X16541Y-34953D01* -X16541Y-34931D01* -X16541Y-34908D01* -X16541Y-34882D01* -X16541Y-34853D01* -X16541Y-34823D01* -X16542Y-34803D01* -X16542Y-34753D01* -X16542Y-34703D01* -X16542Y-34653D01* -X16542Y-34605D01* -X16542Y-34556D01* -X16542Y-34509D01* -X16542Y-34462D01* -X16542Y-34417D01* -X16542Y-34372D01* -X16542Y-34328D01* -X16542Y-34285D01* -X16542Y-34242D01* -X16542Y-34202D01* -X16542Y-34162D01* -X16542Y-34123D01* -X16542Y-34085D01* -X16542Y-34049D01* -X16542Y-34014D01* -X16542Y-33980D01* -X16542Y-33948D01* -X16542Y-33917D01* -X16542Y-33888D01* -X16542Y-33860D01* -X16542Y-33834D01* -X16542Y-33809D01* -X16542Y-33786D01* -X16542Y-33765D01* -X16542Y-33745D01* -X16542Y-33728D01* -X16542Y-33712D01* -X16542Y-33698D01* -X16542Y-33686D01* -X16542Y-33676D01* -X16542Y-33668D01* -X16542Y-33662D01* -X16542Y-33658D01* -X16542Y-33656D01* -X16542Y-33656D01* -X16543Y-33657D01* -X16546Y-33659D01* -X16551Y-33661D01* -X16557Y-33665D01* -X16564Y-33670D01* -X16573Y-33676D01* -X16584Y-33682D01* -X16595Y-33689D01* -X16608Y-33697D01* -X16621Y-33706D01* -X16636Y-33715D01* -X16651Y-33724D01* -X16667Y-33734D01* -X16684Y-33745D01* -X16701Y-33756D01* -X16703Y-33757D01* -X16721Y-33768D01* -X16738Y-33779D01* -X16754Y-33789D01* -X16770Y-33799D01* -X16785Y-33808D01* -X16799Y-33817D01* -X16812Y-33825D01* -X16824Y-33833D01* -X16835Y-33839D01* -X16844Y-33845D01* -X16852Y-33850D01* -X16859Y-33855D01* -X16864Y-33858D01* -X16868Y-33860D01* -X16869Y-33861D01* -X16869Y-33861D01* -X16870Y-33862D01* -X16871Y-33862D01* -X16873Y-33863D01* -X16874Y-33863D01* -X16877Y-33864D01* -X16880Y-33864D01* -X16884Y-33865D01* -X16888Y-33866D01* -X16894Y-33868D01* -X16901Y-33869D01* -X16909Y-33870D01* -X16918Y-33872D01* -X16928Y-33874D01* -X16941Y-33877D01* -X16954Y-33879D01* -X16970Y-33882D01* -X16987Y-33885D01* -X17006Y-33889D01* -X17028Y-33893D01* -X17037Y-33894D01* -X17056Y-33898D01* -X17074Y-33901D01* -X17092Y-33905D01* -X17109Y-33908D01* -X17124Y-33911D01* -X17139Y-33914D01* -X17153Y-33916D01* -X17165Y-33919D01* -X17176Y-33921D01* -X17186Y-33922D01* -X17193Y-33924D01* -X17199Y-33925D01* -X17203Y-33926D01* -X17205Y-33926D01* -X17205Y-33926D01* -X17212Y-33929D01* -X17219Y-33934D01* -X17225Y-33939D01* -X17231Y-33945D01* -X17233Y-33950D01* -X17234Y-33951D01* -X17235Y-33954D01* -X17237Y-33960D01* -X17240Y-33967D01* -X17244Y-33975D01* -X17248Y-33985D01* -X17253Y-33997D01* -X17258Y-34010D01* -X17264Y-34025D01* -X17271Y-34040D01* -X17277Y-34057D01* -X17285Y-34074D01* -X17292Y-34093D01* -X17300Y-34112D01* -X17308Y-34132D01* -X17317Y-34153D01* -X17324Y-34170D01* -X17335Y-34198D01* -X17346Y-34224D01* -X17356Y-34249D01* -X17365Y-34271D01* -X17373Y-34291D01* -X17381Y-34310D01* -X17387Y-34326D01* -X17393Y-34341D01* -X17398Y-34354D01* -X17403Y-34365D01* -X17406Y-34374D01* -X17409Y-34381D01* -X17411Y-34387D01* -X17413Y-34391D01* -X17414Y-34393D01* -X17414Y-34393D01* -X17414Y-34401D01* -X17414Y-34409D01* -X17412Y-34417D01* -X17410Y-34422D01* -X17409Y-34423D01* -X17407Y-34426D01* -X17404Y-34431D01* -X17399Y-34438D01* -X17394Y-34446D01* -X17388Y-34455D01* -X17380Y-34466D01* -X17372Y-34478D01* -X17363Y-34491D01* -X17354Y-34505D01* -X17343Y-34520D01* -X17332Y-34536D01* -X17321Y-34553D01* -X17309Y-34571D01* -X17296Y-34589D01* -X17283Y-34608D01* -X17270Y-34628D01* -X17262Y-34639D01* -X17248Y-34659D01* -X17235Y-34678D01* -X17222Y-34697D01* -X17210Y-34715D01* -X17198Y-34732D01* -X17187Y-34749D01* -X17176Y-34764D01* -X17166Y-34779D01* -X17157Y-34792D01* -X17149Y-34805D01* -X17141Y-34816D01* -X17134Y-34826D01* -X17128Y-34834D01* -X17124Y-34841D01* -X17120Y-34847D01* -X17117Y-34850D01* -X17116Y-34853D01* -X17116Y-34853D01* -X17116Y-34854D01* -X17119Y-34857D01* -X17122Y-34861D01* -X17126Y-34866D01* -X17131Y-34873D01* -X17137Y-34880D01* -X17144Y-34888D01* -X17152Y-34897D01* -X17159Y-34907D01* -X17168Y-34917D01* -X17176Y-34927D01* -X17185Y-34938D01* -X17194Y-34948D01* -X17202Y-34958D01* -X17211Y-34968D01* -X17219Y-34978D01* -X17226Y-34987D01* -X17233Y-34995D01* -X17239Y-35002D01* -X17245Y-35008D01* -X17249Y-35014D01* -X17253Y-35017D01* -X17255Y-35020D01* -X17256Y-35021D01* -X17257Y-35020D01* -X17260Y-35018D01* -X17264Y-35015D01* -X17270Y-35011D01* -X17277Y-35006D01* -X17285Y-35001D01* -X17294Y-34995D01* -X17304Y-34988D01* -X17315Y-34980D01* -X17326Y-34973D01* -X17327Y-34972D01* -X17338Y-34964D01* -X17348Y-34957D01* -X17358Y-34950D01* -X17367Y-34944D01* -X17376Y-34938D01* -X17383Y-34934D01* -X17388Y-34930D01* -X17393Y-34927D01* -X17395Y-34925D01* -X17397Y-34924D01* -X17397Y-34924D01* -X17397Y-34926D01* -X17397Y-34929D01* -X17398Y-34934D01* -X17398Y-34941D01* -X17399Y-34949D01* -X17400Y-34959D01* -X17401Y-34970D01* -X17401Y-34983D01* -X17403Y-34996D01* -X17404Y-35010D01* -X17405Y-35023D01* -X17406Y-35037D01* -X17407Y-35051D01* -X17408Y-35064D01* -X17409Y-35076D01* -X17410Y-35088D01* -X17410Y-35097D01* -X17411Y-35106D01* -X17412Y-35112D01* -X17412Y-35117D01* -X17412Y-35120D01* -X17413Y-35121D01* -X17414Y-35121D01* -X17417Y-35122D01* -X17422Y-35122D01* -X17429Y-35123D01* -X17437Y-35124D01* -X17446Y-35125D01* -X17457Y-35126D01* -X17468Y-35128D01* -X17481Y-35129D01* -X17484Y-35129D01* -X17498Y-35131D01* -X17510Y-35132D01* -X17521Y-35133D01* -X17530Y-35134D01* -X17537Y-35135D01* -X17542Y-35136D01* -X17547Y-35136D01* -X17550Y-35137D01* -X17552Y-35137D01* -X17553Y-35138D01* -X17554Y-35138D01* -X17554Y-35138D01* -X17553Y-35140D01* -X17553Y-35143D01* -X17552Y-35149D01* -X17551Y-35156D01* -X17549Y-35164D01* -X17548Y-35173D01* -X17546Y-35183D01* -X17544Y-35194D01* -X17543Y-35206D01* -X17541Y-35217D01* -X17539Y-35229D01* -X17537Y-35241D01* -X17535Y-35252D01* -X17533Y-35263D01* -X17532Y-35273D01* -X17530Y-35283D01* -X17529Y-35291D01* -X17528Y-35297D01* -X17527Y-35302D01* -X17527Y-35305D01* -X17526Y-35307D01* -X17527Y-35308D01* -X17530Y-35310D01* -X17533Y-35313D01* -X17538Y-35318D01* -X17544Y-35323D01* -X17550Y-35329D01* -X17558Y-35335D01* -X17565Y-35342D01* -X17573Y-35349D01* -X17581Y-35355D01* -X17588Y-35362D01* -X17595Y-35368D01* -X17602Y-35374D01* -X17608Y-35379D01* -X17613Y-35383D01* -X17617Y-35386D01* -X17620Y-35388D01* -X17621Y-35389D01* -X17621Y-35389D01* -X17622Y-35389D01* -X17625Y-35387D01* -X17630Y-35384D01* -X17636Y-35380D01* -X17644Y-35375D01* -X17653Y-35368D01* -X17663Y-35361D01* -X17675Y-35353D01* -X17688Y-35345D01* -X17702Y-35335D01* -X17717Y-35325D01* -X17733Y-35314D01* -X17750Y-35303D01* -X17767Y-35291D01* -X17786Y-35278D01* -X17805Y-35265D01* -X17824Y-35252D01* -X17841Y-35240D01* -X17865Y-35224D01* -X17888Y-35208D01* -X17909Y-35193D01* -X17930Y-35180D01* -X17949Y-35166D01* -X17967Y-35154D01* -X17984Y-35143D01* -X17999Y-35133D01* -X18013Y-35123D01* -X18025Y-35115D01* -X18036Y-35108D01* -X18045Y-35102D01* -X18053Y-35097D01* -X18059Y-35093D01* -X18063Y-35090D01* -X18065Y-35088D01* -X18066Y-35088D01* -X18069Y-35087D01* -X18073Y-35086D01* -X18076Y-35086D01* -X18081Y-35085D01* -X18084Y-35085D01* -X18096Y-35085D01* -X18112Y-35092D01* -X18114Y-35093D01* -X18119Y-35095D01* -X18125Y-35098D01* -X18133Y-35102D01* -X18143Y-35106D01* -X18154Y-35111D01* -X18167Y-35116D01* -X18181Y-35122D01* -X18196Y-35129D01* -X18212Y-35136D01* -X18229Y-35143D01* -X18248Y-35151D01* -X18266Y-35159D01* -X18286Y-35167D01* -X18306Y-35176D01* -X18327Y-35185D01* -X18334Y-35188D01* -X18354Y-35197D01* -X18375Y-35206D01* -X18394Y-35214D01* -X18413Y-35222D01* -X18431Y-35230D01* -X18448Y-35237D01* -X18464Y-35244D01* -X18479Y-35251D01* -X18492Y-35256D01* -X18505Y-35262D01* -X18516Y-35267D01* -X18525Y-35271D01* -X18533Y-35274D01* -X18539Y-35277D01* -X18544Y-35279D01* -X18546Y-35280D01* -X18547Y-35280D01* -X18552Y-35284D01* -X18558Y-35289D01* -X18563Y-35295D01* -X18567Y-35301D01* -X18569Y-35304D01* -X18570Y-35305D01* -X18570Y-35306D01* -X18571Y-35308D01* -X18571Y-35311D01* -X18572Y-35314D01* -X18573Y-35318D01* -X18574Y-35323D01* -X18575Y-35328D01* -X18576Y-35335D01* -X18578Y-35343D01* -X18579Y-35352D01* -X18581Y-35362D01* -X18584Y-35373D01* -X18586Y-35386D01* -X18589Y-35400D01* -X18592Y-35416D01* -X18595Y-35433D01* -X18598Y-35453D01* -X18602Y-35474D01* -X18607Y-35496D01* -X18611Y-35521D01* -X18616Y-35548D01* -X18621Y-35577D01* -X18622Y-35579D01* -X18626Y-35603D01* -X18631Y-35627D01* -X18635Y-35650D01* -X18639Y-35672D01* -X18643Y-35693D01* -X18647Y-35714D01* -X18650Y-35733D01* -X18654Y-35751D01* -X18657Y-35768D01* -X18660Y-35783D01* -X18662Y-35798D01* -X18665Y-35810D01* -X18667Y-35821D01* -X18668Y-35830D01* -X18670Y-35838D01* -X18671Y-35843D01* -X18672Y-35847D01* -X18672Y-35848D01* -X18672Y-35848D01* -X18672Y-35848D01* -G37* -G36* -X22427Y-32524D02* -X22427Y-32443D01* -X22427Y-32362D01* -X22121Y-32362D01* -X22097Y-32362D01* -X22097Y-32320D01* -X22097Y-32310D01* -X22097Y-32300D01* -X22097Y-32290D01* -X22097Y-32282D01* -X22097Y-32275D01* -X22098Y-32269D01* -X22098Y-32265D01* -X22100Y-32250D01* -X22104Y-32236D01* -X22109Y-32223D01* -X22116Y-32213D01* -X22124Y-32203D01* -X22134Y-32196D01* -X22146Y-32190D01* -X22154Y-32187D01* -X22164Y-32184D01* -X22174Y-32182D01* -X22185Y-32181D01* -X22197Y-32181D01* -X22202Y-32181D01* -X22215Y-32182D01* -X22227Y-32184D01* -X22237Y-32187D01* -X22247Y-32191D01* -X22251Y-32192D01* -X22261Y-32198D01* -X22270Y-32206D01* -X22277Y-32215D01* -X22283Y-32225D01* -X22287Y-32236D01* -X22287Y-32238D01* -X22288Y-32247D01* -X22289Y-32256D01* -X22288Y-32266D01* -X22287Y-32274D01* -X22287Y-32275D01* -X22284Y-32285D01* -X22279Y-32294D01* -X22274Y-32303D01* -X22266Y-32311D01* -X22264Y-32314D01* -X22252Y-32324D01* -X22239Y-32334D01* -X22225Y-32341D01* -X22209Y-32348D01* -X22192Y-32354D01* -X22186Y-32355D01* -X22175Y-32358D01* -X22165Y-32359D01* -X22155Y-32361D01* -X22144Y-32362D01* -X22131Y-32362D01* -X22121Y-32362D01* -X22427Y-32362D01* -X22375Y-32362D01* -X22322Y-32362D01* -X22334Y-32356D01* -X22355Y-32344D01* -X22373Y-32332D01* -X22389Y-32319D01* -X22403Y-32306D01* -X22415Y-32293D01* -X22424Y-32279D01* -X22430Y-32269D01* -X22434Y-32260D01* -X22437Y-32251D01* -X22440Y-32243D01* -X22442Y-32235D01* -X22443Y-32226D01* -X22444Y-32216D01* -X22445Y-32205D01* -X22445Y-32198D01* -X22445Y-32189D01* -X22445Y-32182D01* -X22445Y-32177D01* -X22445Y-32172D01* -X22444Y-32168D01* -X22444Y-32165D01* -X22443Y-32161D01* -X22443Y-32161D01* -X22441Y-32153D01* -X22438Y-32144D01* -X22435Y-32136D01* -X22432Y-32128D01* -X22431Y-32126D01* -X22422Y-32110D01* -X22411Y-32096D01* -X22399Y-32083D01* -X22384Y-32071D01* -X22368Y-32060D01* -X22351Y-32050D01* -X22332Y-32041D01* -X22312Y-32034D01* -X22290Y-32028D01* -X22268Y-32024D01* -X22264Y-32023D01* -X22245Y-32021D01* -X22224Y-32019D01* -X22203Y-32019D01* -X22181Y-32020D01* -X22173Y-32020D01* -X22147Y-32023D01* -X22123Y-32027D01* -X22101Y-32032D01* -X22081Y-32039D01* -X22062Y-32047D01* -X22045Y-32057D01* -X22029Y-32068D01* -X22015Y-32081D01* -X22003Y-32095D01* -X21992Y-32111D01* -X21983Y-32129D01* -X21975Y-32148D01* -X21968Y-32169D01* -X21964Y-32187D01* -X21963Y-32193D01* -X21962Y-32199D01* -X21961Y-32204D01* -X21960Y-32209D01* -X21959Y-32214D01* -X21959Y-32220D01* -X21958Y-32226D01* -X21958Y-32233D01* -X21958Y-32241D01* -X21958Y-32250D01* -X21957Y-32261D01* -X21957Y-32273D01* -X21957Y-32287D01* -X21957Y-32294D01* -X21957Y-32362D01* -X21939Y-32362D01* -X21927Y-32362D01* -X21916Y-32361D01* -X21906Y-32359D01* -X21897Y-32357D01* -X21892Y-32355D01* -X21884Y-32352D01* -X21876Y-32348D01* -X21869Y-32343D01* -X21861Y-32336D01* -X21861Y-32336D01* -X21854Y-32327D01* -X21847Y-32317D01* -X21842Y-32305D01* -X21838Y-32292D01* -X21834Y-32278D01* -X21834Y-32271D01* -X21833Y-32263D01* -X21832Y-32254D01* -X21832Y-32244D01* -X21832Y-32233D01* -X21833Y-32223D01* -X21833Y-32213D01* -X21834Y-32204D01* -X21834Y-32198D01* -X21839Y-32173D01* -X21846Y-32149D01* -X21854Y-32126D01* -X21865Y-32105D01* -X21877Y-32084D01* -X21885Y-32073D01* -X21892Y-32063D01* -X21803Y-32063D01* -X21789Y-32063D01* -X21775Y-32063D01* -X21763Y-32063D01* -X21751Y-32063D01* -X21741Y-32063D01* -X21732Y-32063D01* -X21724Y-32063D01* -X21718Y-32063D01* -X21714Y-32063D01* -X21712Y-32063D01* -X21712Y-32063D01* -X21711Y-32065D01* -X21710Y-32068D01* -X21708Y-32073D01* -X21707Y-32079D01* -X21704Y-32086D01* -X21702Y-32093D01* -X21700Y-32100D01* -X21698Y-32107D01* -X21696Y-32114D01* -X21695Y-32117D01* -X21691Y-32136D01* -X21686Y-32156D01* -X21683Y-32177D01* -X21679Y-32198D01* -X21677Y-32217D01* -X21676Y-32226D01* -X21676Y-32233D01* -X21676Y-32242D01* -X21675Y-32252D01* -X21675Y-32263D01* -X21675Y-32274D01* -X21675Y-32284D01* -X21676Y-32294D01* -X21676Y-32302D01* -X21676Y-32307D01* -X21680Y-32332D01* -X21684Y-32354D01* -X21691Y-32375D01* -X21699Y-32395D01* -X21708Y-32413D01* -X21719Y-32430D01* -X21732Y-32445D01* -X21746Y-32458D01* -X21762Y-32470D01* -X21780Y-32481D01* -X21799Y-32491D01* -X21820Y-32499D01* -X21821Y-32499D01* -X21843Y-32506D01* -X21867Y-32512D01* -X21893Y-32516D01* -X21920Y-32520D01* -X21950Y-32522D01* -X21957Y-32523D01* -X21961Y-32523D01* -X21967Y-32523D01* -X21975Y-32523D01* -X21985Y-32523D01* -X21997Y-32524D01* -X22011Y-32524D01* -X22027Y-32524D01* -X22045Y-32524D01* -X22064Y-32524D01* -X22086Y-32524D01* -X22109Y-32524D01* -X22133Y-32524D01* -X22160Y-32524D01* -X22187Y-32524D01* -X22202Y-32524D01* -X22427Y-32524D01* -X22427Y-32524D01* -X22427Y-32524D01* -G37* -G36* -X22072Y-33877D02* -X22109Y-33876D01* -X22116Y-33875D01* -X22148Y-33873D01* -X22178Y-33868D01* -X22207Y-33863D01* -X22235Y-33855D01* -X22261Y-33847D01* -X22286Y-33837D01* -X22309Y-33826D01* -X22331Y-33813D01* -X22351Y-33799D01* -X22369Y-33784D01* -X22386Y-33768D01* -X22400Y-33750D01* -X22409Y-33738D01* -X22419Y-33722D01* -X22424Y-33710D01* -X22065Y-33710D01* -X22052Y-33710D01* -X22039Y-33710D01* -X22026Y-33709D01* -X22015Y-33709D01* -X22004Y-33708D01* -X21999Y-33707D01* -X21975Y-33704D01* -X21953Y-33700D01* -X21933Y-33695D01* -X21915Y-33689D01* -X21899Y-33682D01* -X21885Y-33674D01* -X21873Y-33665D01* -X21863Y-33655D01* -X21855Y-33644D01* -X21849Y-33633D01* -X21848Y-33629D01* -X21846Y-33622D01* -X21845Y-33614D01* -X21844Y-33605D01* -X21844Y-33596D01* -X21845Y-33587D01* -X21846Y-33585D01* -X21850Y-33572D01* -X21855Y-33561D01* -X21863Y-33550D01* -X21873Y-33540D01* -X21885Y-33531D01* -X21899Y-33524D01* -X21915Y-33517D01* -X21933Y-33511D01* -X21940Y-33508D01* -X21955Y-33505D01* -X21970Y-33502D01* -X21986Y-33500D01* -X22002Y-33498D01* -X22020Y-33497D01* -X22040Y-33496D01* -X22046Y-33496D01* -X22073Y-33496D01* -X22099Y-33497D01* -X22123Y-33499D01* -X22146Y-33501D01* -X22167Y-33505D01* -X22186Y-33510D01* -X22204Y-33515D01* -X22219Y-33522D01* -X22232Y-33529D01* -X22244Y-33537D01* -X22254Y-33547D01* -X22263Y-33557D01* -X22269Y-33567D01* -X22273Y-33576D01* -X22276Y-33587D01* -X22277Y-33599D01* -X22277Y-33612D01* -X22275Y-33623D01* -X22275Y-33624D01* -X22270Y-33636D01* -X22264Y-33648D01* -X22256Y-33658D01* -X22245Y-33668D01* -X22233Y-33676D01* -X22219Y-33684D01* -X22202Y-33691D01* -X22184Y-33696D01* -X22163Y-33701D01* -X22141Y-33705D01* -X22117Y-33708D01* -X22099Y-33709D01* -X22089Y-33710D01* -X22078Y-33710D01* -X22065Y-33710D01* -X22424Y-33710D01* -X22427Y-33704D01* -X22434Y-33686D01* -X22439Y-33666D01* -X22442Y-33655D01* -X22442Y-33651D01* -X22443Y-33647D01* -X22444Y-33643D01* -X22444Y-33638D01* -X22444Y-33633D01* -X22445Y-33627D01* -X22445Y-33620D01* -X22445Y-33611D01* -X22445Y-33607D01* -X22445Y-33597D01* -X22445Y-33589D01* -X22445Y-33582D01* -X22445Y-33577D01* -X22444Y-33572D01* -X22444Y-33567D01* -X22443Y-33563D01* -X22443Y-33561D01* -X22438Y-33537D01* -X22432Y-33515D01* -X22424Y-33495D01* -X22413Y-33475D01* -X22401Y-33458D01* -X22393Y-33447D01* -X22377Y-33429D01* -X22359Y-33414D01* -X22339Y-33399D01* -X22318Y-33386D01* -X22295Y-33374D01* -X22271Y-33363D01* -X22244Y-33354D01* -X22217Y-33346D01* -X22187Y-33340D01* -X22168Y-33337D01* -X22142Y-33333D01* -X22114Y-33331D01* -X22086Y-33330D01* -X22057Y-33329D01* -X22028Y-33330D01* -X22000Y-33332D01* -X21972Y-33334D01* -X21946Y-33338D01* -X21936Y-33340D01* -X21907Y-33346D01* -X21880Y-33353D01* -X21854Y-33362D01* -X21830Y-33372D01* -X21807Y-33383D01* -X21786Y-33396D01* -X21783Y-33398D01* -X21763Y-33413D01* -X21746Y-33428D01* -X21730Y-33445D01* -X21716Y-33461D01* -X21705Y-33480D01* -X21695Y-33500D01* -X21687Y-33521D01* -X21681Y-33543D01* -X21677Y-33566D01* -X21675Y-33590D01* -X21675Y-33616D01* -X21675Y-33620D01* -X21678Y-33644D01* -X21682Y-33667D01* -X21688Y-33689D01* -X21696Y-33709D01* -X21706Y-33729D01* -X21718Y-33747D01* -X21732Y-33765D01* -X21748Y-33781D01* -X21766Y-33797D01* -X21785Y-33810D01* -X21807Y-33823D01* -X21830Y-33835D01* -X21855Y-33845D01* -X21882Y-33854D01* -X21910Y-33862D01* -X21940Y-33868D01* -X21971Y-33872D01* -X22003Y-33875D01* -X22037Y-33877D01* -X22072Y-33877D01* -X22072Y-33877D01* -X22072Y-33877D01* -G37* -G36* -X22427Y-34460D02* -X22427Y-34380D01* -X22427Y-34299D01* -X22096Y-34299D01* -X22097Y-34248D01* -X22097Y-34235D01* -X22097Y-34224D01* -X22097Y-34215D01* -X22098Y-34207D01* -X22098Y-34201D01* -X22098Y-34196D01* -X22099Y-34191D01* -X22100Y-34187D01* -X22100Y-34183D01* -X22102Y-34179D01* -X22103Y-34175D01* -X22104Y-34172D01* -X22109Y-34159D01* -X22116Y-34149D01* -X22124Y-34139D01* -X22134Y-34132D01* -X22146Y-34126D01* -X22159Y-34121D01* -X22173Y-34118D01* -X22188Y-34117D01* -X22205Y-34117D01* -X22220Y-34119D01* -X22234Y-34122D01* -X22247Y-34127D01* -X22258Y-34133D01* -X22268Y-34140D01* -X22276Y-34149D01* -X22282Y-34158D01* -X22286Y-34169D01* -X22287Y-34174D01* -X22288Y-34182D01* -X22289Y-34191D01* -X22288Y-34200D01* -X22287Y-34209D01* -X22285Y-34217D01* -X22285Y-34217D01* -X22280Y-34229D01* -X22273Y-34240D01* -X22263Y-34251D01* -X22252Y-34260D01* -X22240Y-34269D01* -X22226Y-34277D01* -X22210Y-34284D01* -X22193Y-34289D01* -X22185Y-34292D01* -X22175Y-34294D01* -X22165Y-34295D01* -X22154Y-34297D01* -X22142Y-34298D01* -X22128Y-34298D01* -X22122Y-34299D01* -X22096Y-34299D01* -X22427Y-34299D01* -X22427Y-34299D01* -X22374Y-34299D01* -X22321Y-34299D01* -X22338Y-34290D01* -X22356Y-34279D01* -X22373Y-34268D01* -X22388Y-34257D01* -X22400Y-34245D01* -X22411Y-34233D01* -X22421Y-34221D01* -X22428Y-34208D01* -X22435Y-34194D01* -X22440Y-34179D01* -X22442Y-34170D01* -X22443Y-34164D01* -X22444Y-34157D01* -X22444Y-34149D01* -X22445Y-34140D01* -X22445Y-34131D01* -X22445Y-34122D01* -X22445Y-34113D01* -X22444Y-34106D01* -X22444Y-34100D01* -X22444Y-34100D01* -X22439Y-34083D01* -X22433Y-34066D01* -X22425Y-34051D01* -X22414Y-34036D01* -X22402Y-34022D01* -X22388Y-34010D01* -X22373Y-33998D01* -X22356Y-33988D01* -X22338Y-33979D01* -X22318Y-33972D01* -X22299Y-33966D01* -X22286Y-33963D01* -X22273Y-33960D01* -X22260Y-33958D01* -X22247Y-33957D01* -X22233Y-33956D01* -X22217Y-33956D01* -X22205Y-33956D01* -X22192Y-33956D01* -X22181Y-33956D01* -X22171Y-33956D01* -X22161Y-33957D01* -X22152Y-33958D01* -X22143Y-33959D01* -X22135Y-33961D01* -X22112Y-33965D01* -X22090Y-33971D01* -X22071Y-33979D01* -X22053Y-33988D01* -X22036Y-33999D01* -X22021Y-34011D01* -X22008Y-34025D01* -X21996Y-34040D01* -X21986Y-34057D01* -X21978Y-34076D01* -X21970Y-34096D01* -X21965Y-34116D01* -X21964Y-34124D01* -X21962Y-34131D01* -X21961Y-34138D01* -X21960Y-34145D01* -X21959Y-34152D01* -X21959Y-34160D01* -X21958Y-34169D01* -X21958Y-34178D01* -X21957Y-34189D01* -X21957Y-34201D01* -X21957Y-34215D01* -X21957Y-34231D01* -X21957Y-34238D01* -X21957Y-34299D01* -X21939Y-34299D01* -X21924Y-34298D01* -X21911Y-34296D01* -X21900Y-34294D01* -X21890Y-34291D01* -X21881Y-34287D01* -X21873Y-34282D01* -X21865Y-34275D01* -X21862Y-34272D01* -X21857Y-34267D01* -X21853Y-34262D01* -X21850Y-34258D01* -X21847Y-34253D01* -X21843Y-34244D01* -X21840Y-34235D01* -X21837Y-34226D01* -X21835Y-34216D01* -X21834Y-34206D01* -X21833Y-34194D01* -X21832Y-34180D01* -X21832Y-34176D01* -X21832Y-34162D01* -X21833Y-34151D01* -X21834Y-34140D01* -X21835Y-34130D01* -X21837Y-34120D01* -X21839Y-34110D01* -X21841Y-34103D01* -X21848Y-34080D01* -X21857Y-34057D01* -X21867Y-34036D01* -X21880Y-34016D01* -X21885Y-34008D01* -X21888Y-34004D01* -X21890Y-34001D01* -X21892Y-33999D01* -X21892Y-33999D01* -X21891Y-33999D01* -X21888Y-33999D01* -X21883Y-33999D01* -X21876Y-33999D01* -X21868Y-33999D01* -X21858Y-33999D01* -X21847Y-33999D01* -X21835Y-33999D01* -X21822Y-33999D01* -X21808Y-33999D01* -X21802Y-33999D01* -X21712Y-33999D01* -X21706Y-34015D01* -X21696Y-34050D01* -X21688Y-34085D01* -X21681Y-34121D01* -X21677Y-34157D01* -X21677Y-34157D01* -X21676Y-34165D01* -X21676Y-34174D01* -X21675Y-34185D01* -X21675Y-34196D01* -X21675Y-34207D01* -X21675Y-34218D01* -X21676Y-34228D01* -X21676Y-34236D01* -X21676Y-34243D01* -X21680Y-34267D01* -X21684Y-34289D01* -X21690Y-34310D01* -X21698Y-34330D01* -X21707Y-34348D01* -X21718Y-34364D01* -X21730Y-34379D01* -X21744Y-34393D01* -X21748Y-34396D01* -X21764Y-34408D01* -X21782Y-34418D01* -X21801Y-34427D01* -X21822Y-34435D01* -X21845Y-34442D01* -X21870Y-34448D01* -X21897Y-34453D01* -X21916Y-34455D01* -X21921Y-34456D01* -X21925Y-34456D01* -X21930Y-34457D01* -X21934Y-34457D01* -X21939Y-34458D01* -X21944Y-34458D01* -X21949Y-34458D01* -X21955Y-34459D01* -X21961Y-34459D01* -X21968Y-34459D01* -X21976Y-34459D01* -X21984Y-34459D01* -X21994Y-34459D01* -X22004Y-34460D01* -X22016Y-34460D01* -X22029Y-34460D01* -X22043Y-34460D01* -X22059Y-34460D01* -X22077Y-34460D01* -X22096Y-34460D01* -X22117Y-34460D01* -X22140Y-34460D01* -X22165Y-34460D01* -X22192Y-34460D01* -X22200Y-34460D01* -X22427Y-34460D01* -X22427Y-34460D01* -X22427Y-34460D01* -G37* -G36* -X22427Y-35560D02* -X22427Y-35479D01* -X22427Y-35398D01* -X22376Y-35398D01* -X22324Y-35398D01* -X22325Y-35397D01* -X22061Y-35397D01* -X22048Y-35397D01* -X22036Y-35397D01* -X22026Y-35397D01* -X22017Y-35397D01* -X22009Y-35396D01* -X22002Y-35396D01* -X21994Y-35395D01* -X21986Y-35394D01* -X21978Y-35393D01* -X21972Y-35392D01* -X21951Y-35388D01* -X21932Y-35383D01* -X21915Y-35377D01* -X21900Y-35370D01* -X21886Y-35362D01* -X21875Y-35353D01* -X21867Y-35346D01* -X21859Y-35336D01* -X21853Y-35325D01* -X21849Y-35314D01* -X21847Y-35302D01* -X21846Y-35290D01* -X21848Y-35278D01* -X21851Y-35266D01* -X21856Y-35255D01* -X21864Y-35245D01* -X21872Y-35235D01* -X21875Y-35233D01* -X21886Y-35225D01* -X21899Y-35217D01* -X21914Y-35211D01* -X21930Y-35205D01* -X21948Y-35200D01* -X21968Y-35196D01* -X21989Y-35193D01* -X22013Y-35191D01* -X22016Y-35191D01* -X22022Y-35191D01* -X22029Y-35190D01* -X22039Y-35190D01* -X22049Y-35190D01* -X22059Y-35190D01* -X22070Y-35190D01* -X22081Y-35190D01* -X22092Y-35191D01* -X22101Y-35191D01* -X22110Y-35191D01* -X22117Y-35192D01* -X22122Y-35192D01* -X22141Y-35194D01* -X22157Y-35197D01* -X22169Y-35199D01* -X22188Y-35204D01* -X22205Y-35210D01* -X22221Y-35217D01* -X22234Y-35225D01* -X22246Y-35233D01* -X22256Y-35243D01* -X22263Y-35253D01* -X22269Y-35264D01* -X22270Y-35268D01* -X22272Y-35273D01* -X22273Y-35276D01* -X22274Y-35279D01* -X22274Y-35283D01* -X22274Y-35286D01* -X22274Y-35291D01* -X22274Y-35295D01* -X22274Y-35302D01* -X22273Y-35307D01* -X22273Y-35311D01* -X22272Y-35315D01* -X22271Y-35319D01* -X22265Y-35330D01* -X22258Y-35341D01* -X22249Y-35351D01* -X22238Y-35360D01* -X22224Y-35368D01* -X22209Y-35375D01* -X22192Y-35382D01* -X22173Y-35387D01* -X22153Y-35391D01* -X22144Y-35392D01* -X22136Y-35394D01* -X22127Y-35395D01* -X22119Y-35396D01* -X22110Y-35396D01* -X22101Y-35397D01* -X22090Y-35397D01* -X22078Y-35397D01* -X22065Y-35397D01* -X22061Y-35397D01* -X22325Y-35397D01* -X22338Y-35390D01* -X22359Y-35377D01* -X22377Y-35364D01* -X22393Y-35351D01* -X22407Y-35337D01* -X22418Y-35323D01* -X22428Y-35308D01* -X22431Y-35303D01* -X22434Y-35296D01* -X22437Y-35287D01* -X22440Y-35278D01* -X22442Y-35269D01* -X22444Y-35263D01* -X22444Y-35258D01* -X22445Y-35250D01* -X22445Y-35242D01* -X22445Y-35234D01* -X22445Y-35225D01* -X22445Y-35218D01* -X22444Y-35211D01* -X22444Y-35207D01* -X22439Y-35189D01* -X22432Y-35173D01* -X22424Y-35157D01* -X22413Y-35142D01* -X22400Y-35127D01* -X22385Y-35113D01* -X22368Y-35100D01* -X22348Y-35088D01* -X22329Y-35078D01* -X22303Y-35066D01* -X22276Y-35055D01* -X22247Y-35046D01* -X22217Y-35038D01* -X22185Y-35033D01* -X22152Y-35028D01* -X22117Y-35025D01* -X22081Y-35024D01* -X22045Y-35024D01* -X22031Y-35024D01* -X22019Y-35024D01* -X22009Y-35025D01* -X21999Y-35025D01* -X21989Y-35026D01* -X21980Y-35027D01* -X21969Y-35028D01* -X21961Y-35029D01* -X21929Y-35034D01* -X21897Y-35040D01* -X21868Y-35048D01* -X21839Y-35057D01* -X21813Y-35068D01* -X21787Y-35080D01* -X21764Y-35094D01* -X21760Y-35097D01* -X21742Y-35109D01* -X21726Y-35123D01* -X21713Y-35137D01* -X21701Y-35151D01* -X21692Y-35166D01* -X21684Y-35182D01* -X21682Y-35188D01* -X21678Y-35203D01* -X21676Y-35219D01* -X21675Y-35236D01* -X21676Y-35252D01* -X21678Y-35268D01* -X21682Y-35283D01* -X21683Y-35284D01* -X21689Y-35299D01* -X21697Y-35314D01* -X21708Y-35329D01* -X21721Y-35343D01* -X21736Y-35357D01* -X21752Y-35370D01* -X21771Y-35382D01* -X21785Y-35391D01* -X21796Y-35397D01* -X21602Y-35397D01* -X21407Y-35398D01* -X21407Y-35479D01* -X21407Y-35560D01* -X21917Y-35560D01* -X22427Y-35560D01* -X22427Y-35560D01* -X22427Y-35560D01* -G37* -G36* -X22426Y-32007D02* -X22426Y-32007D01* -X22426Y-32006D01* -X22427Y-32004D01* -X22427Y-32002D01* -X22427Y-31998D01* -X22427Y-31993D01* -X22427Y-31987D01* -X22427Y-31979D01* -X22427Y-31969D01* -X22427Y-31958D01* -X22427Y-31946D01* -X22427Y-31931D01* -X22427Y-31914D01* -X22427Y-31900D01* -X22427Y-31793D01* -X22236Y-31661D01* -X22045Y-31530D01* -X22236Y-31530D01* -X22427Y-31529D01* -X22427Y-31443D01* -X22427Y-31356D01* -X21938Y-31356D01* -X21448Y-31356D01* -X21448Y-31443D01* -X21448Y-31529D01* -X21625Y-31530D01* -X21802Y-31530D01* -X21625Y-31652D01* -X21448Y-31775D01* -X21448Y-31875D01* -X21448Y-31975D01* -X21454Y-31972D01* -X21455Y-31971D01* -X21458Y-31969D01* -X21463Y-31965D01* -X21469Y-31961D01* -X21477Y-31955D01* -X21487Y-31949D01* -X21497Y-31942D01* -X21509Y-31933D01* -X21523Y-31924D01* -X21537Y-31914D01* -X21552Y-31904D01* -X21569Y-31893D01* -X21586Y-31881D01* -X21604Y-31868D01* -X21622Y-31855D01* -X21642Y-31842D01* -X21661Y-31828D01* -X21682Y-31814D01* -X21685Y-31812D01* -X21705Y-31798D01* -X21725Y-31784D01* -X21744Y-31771D01* -X21763Y-31758D01* -X21781Y-31746D01* -X21798Y-31734D01* -X21814Y-31723D01* -X21829Y-31712D01* -X21843Y-31703D01* -X21857Y-31694D01* -X21868Y-31685D01* -X21879Y-31678D01* -X21888Y-31672D01* -X21896Y-31666D01* -X21903Y-31662D01* -X21907Y-31659D01* -X21910Y-31657D01* -X21912Y-31656D01* -X21912Y-31656D01* -X21913Y-31656D01* -X21915Y-31658D01* -X21920Y-31661D01* -X21926Y-31665D01* -X21933Y-31670D01* -X21942Y-31677D01* -X21953Y-31684D01* -X21965Y-31692D01* -X21978Y-31701D01* -X21992Y-31710D01* -X22007Y-31721D01* -X22024Y-31732D01* -X22041Y-31744D01* -X22059Y-31756D01* -X22078Y-31769D01* -X22098Y-31783D01* -X22118Y-31797D01* -X22139Y-31811D01* -X22160Y-31826D01* -X22168Y-31831D01* -X22190Y-31846D01* -X22211Y-31861D01* -X22232Y-31875D01* -X22252Y-31888D01* -X22271Y-31902D01* -X22289Y-31914D01* -X22307Y-31926D01* -X22324Y-31938D01* -X22340Y-31949D01* -X22354Y-31959D01* -X22368Y-31968D01* -X22380Y-31976D01* -X22391Y-31984D01* -X22401Y-31990D01* -X22409Y-31996D01* -X22416Y-32001D01* -X22421Y-32004D01* -X22424Y-32006D01* -X22426Y-32007D01* -X22426Y-32007D01* -X22426Y-32007D01* -X22426Y-32007D01* -G37* -G36* -X22138Y-33227D02* -X22150Y-33227D01* -X22161Y-33227D01* -X22172Y-33226D01* -X22183Y-33226D01* -X22192Y-33226D01* -X22200Y-33225D01* -X22205Y-33225D01* -X22230Y-33221D01* -X22253Y-33216D01* -X22274Y-33210D01* -X22294Y-33203D01* -X22312Y-33194D01* -X22329Y-33184D01* -X22335Y-33181D01* -X22343Y-33175D01* -X22353Y-33167D01* -X22362Y-33158D01* -X22371Y-33149D01* -X22378Y-33139D01* -X22385Y-33131D01* -X22385Y-33131D01* -X22395Y-33114D01* -X22404Y-33096D01* -X22411Y-33076D01* -X22417Y-33056D01* -X22417Y-33055D01* -X22120Y-33055D01* -X22117Y-33055D01* -X22099Y-33054D01* -X22083Y-33051D01* -X22069Y-33048D01* -X22056Y-33044D01* -X22044Y-33038D01* -X22034Y-33031D01* -X22031Y-33028D01* -X21725Y-33028D01* -X21714Y-33028D01* -X21706Y-33027D01* -X21689Y-33025D01* -X21675Y-33021D01* -X21662Y-33016D01* -X21650Y-33009D01* -X21641Y-33001D01* -X21633Y-32992D01* -X21627Y-32981D01* -X21623Y-32969D01* -X21623Y-32967D01* -X21622Y-32965D01* -X21622Y-32962D01* -X21622Y-32958D01* -X21622Y-32954D01* -X21621Y-32948D01* -X21621Y-32941D01* -X21621Y-32932D01* -X21621Y-32922D01* -X21621Y-32909D01* -X21621Y-32907D01* -X21620Y-32852D01* -X21723Y-32852D01* -X21826Y-32852D01* -X21826Y-32906D01* -X21826Y-32919D01* -X21826Y-32930D01* -X21826Y-32939D01* -X21826Y-32947D01* -X21826Y-32953D01* -X21826Y-32958D01* -X21825Y-32962D01* -X21825Y-32965D01* -X21825Y-32967D01* -X21824Y-32967D01* -X21821Y-32979D01* -X21815Y-32990D01* -X21808Y-32999D01* -X21799Y-33008D01* -X21788Y-33015D01* -X21776Y-33020D01* -X21762Y-33024D01* -X21756Y-33025D01* -X21747Y-33027D01* -X21736Y-33028D01* -X21725Y-33028D01* -X22031Y-33028D01* -X22025Y-33023D01* -X22023Y-33021D01* -X22015Y-33012D01* -X22009Y-33001D01* -X22005Y-32989D01* -X22002Y-32975D01* -X22001Y-32973D01* -X22001Y-32969D01* -X22000Y-32965D01* -X22000Y-32960D01* -X22000Y-32954D01* -X22000Y-32947D01* -X22000Y-32938D01* -X21999Y-32928D01* -X21999Y-32915D01* -X21999Y-32907D01* -X21999Y-32852D01* -X22127Y-32852D01* -X22254Y-32852D01* -X22254Y-32908D01* -X22254Y-32921D01* -X22254Y-32933D01* -X22254Y-32942D01* -X22254Y-32950D01* -X22254Y-32957D01* -X22254Y-32962D01* -X22253Y-32967D01* -X22253Y-32970D01* -X22253Y-32973D01* -X22253Y-32974D01* -X22251Y-32982D01* -X22249Y-32989D01* -X22247Y-32996D01* -X22243Y-33002D01* -X22243Y-33004D01* -X22236Y-33015D01* -X22227Y-33025D01* -X22217Y-33033D01* -X22205Y-33040D01* -X22191Y-33046D01* -X22175Y-33050D01* -X22158Y-33053D01* -X22140Y-33055D01* -X22120Y-33055D01* -X22417Y-33055D01* -X22421Y-33034D01* -X22425Y-33011D01* -X22426Y-32991D01* -X22426Y-32987D01* -X22427Y-32982D01* -X22427Y-32974D01* -X22427Y-32964D01* -X22427Y-32953D01* -X22427Y-32940D01* -X22427Y-32926D01* -X22427Y-32911D01* -X22427Y-32894D01* -X22427Y-32876D01* -X22427Y-32857D01* -X22427Y-32838D01* -X22427Y-32826D01* -X22427Y-32680D01* -X21938Y-32680D01* -X21448Y-32680D01* -X21449Y-32831D01* -X21449Y-32853D01* -X21449Y-32873D01* -X21449Y-32890D01* -X21449Y-32906D01* -X21449Y-32920D01* -X21449Y-32933D01* -X21449Y-32944D01* -X21449Y-32954D01* -X21449Y-32962D01* -X21450Y-32970D01* -X21450Y-32976D01* -X21450Y-32982D01* -X21450Y-32987D01* -X21451Y-32992D01* -X21451Y-32996D01* -X21451Y-33000D01* -X21452Y-33003D01* -X21452Y-33007D01* -X21453Y-33011D01* -X21454Y-33014D01* -X21454Y-33019D01* -X21455Y-33019D01* -X21456Y-33029D01* -X21459Y-33039D01* -X21462Y-33050D01* -X21465Y-33060D01* -X21468Y-33069D01* -X21468Y-33070D01* -X21476Y-33089D01* -X21485Y-33106D01* -X21496Y-33122D01* -X21508Y-33136D01* -X21521Y-33148D01* -X21536Y-33160D01* -X21553Y-33169D01* -X21571Y-33178D01* -X21591Y-33185D01* -X21612Y-33191D01* -X21636Y-33195D01* -X21653Y-33198D01* -X21661Y-33198D01* -X21670Y-33199D01* -X21681Y-33199D01* -X21692Y-33200D01* -X21704Y-33200D01* -X21716Y-33200D01* -X21728Y-33200D01* -X21738Y-33199D01* -X21747Y-33199D01* -X21754Y-33198D01* -X21755Y-33198D01* -X21779Y-33194D01* -X21800Y-33188D01* -X21820Y-33181D01* -X21839Y-33173D01* -X21855Y-33164D01* -X21870Y-33152D01* -X21883Y-33140D01* -X21894Y-33126D01* -X21899Y-33120D01* -X21903Y-33113D01* -X21907Y-33121D01* -X21916Y-33137D01* -X21927Y-33151D01* -X21940Y-33165D01* -X21955Y-33177D01* -X21972Y-33187D01* -X21990Y-33197D01* -X22010Y-33206D01* -X22032Y-33213D01* -X22055Y-33219D01* -X22081Y-33223D01* -X22094Y-33225D01* -X22100Y-33226D01* -X22108Y-33226D01* -X22117Y-33226D01* -X22127Y-33227D01* -X22138Y-33227D01* -X22138Y-33227D01* -X22138Y-33227D01* -G37* -G36* -X21802Y-34980D02* -X21816Y-34980D01* -X21830Y-34980D01* -X21843Y-34980D01* -X21855Y-34980D01* -X21865Y-34980D01* -X21874Y-34980D01* -X21882Y-34979D01* -X21887Y-34979D01* -X21891Y-34979D01* -X21893Y-34979D01* -X21893Y-34979D01* -X21893Y-34978D01* -X21891Y-34976D01* -X21889Y-34972D01* -X21889Y-34972D01* -X21881Y-34959D01* -X21875Y-34945D01* -X21870Y-34931D01* -X21867Y-34916D01* -X21865Y-34902D01* -X21865Y-34896D01* -X21866Y-34881D01* -X21869Y-34866D01* -X21874Y-34853D01* -X21881Y-34840D01* -X21890Y-34828D01* -X21892Y-34826D01* -X21904Y-34815D01* -X21918Y-34805D01* -X21933Y-34797D01* -X21950Y-34789D01* -X21970Y-34783D01* -X21991Y-34778D01* -X22014Y-34774D01* -X22039Y-34771D01* -X22059Y-34770D01* -X22063Y-34770D01* -X22069Y-34769D01* -X22077Y-34769D01* -X22087Y-34769D01* -X22099Y-34769D01* -X22112Y-34769D01* -X22127Y-34769D01* -X22143Y-34769D01* -X22160Y-34769D01* -X22178Y-34769D01* -X22198Y-34769D01* -X22218Y-34769D01* -X22239Y-34769D01* -X22254Y-34769D01* -X22427Y-34769D01* -X22427Y-34689D01* -X22427Y-34609D01* -X22060Y-34609D01* -X21692Y-34609D01* -X21692Y-34689D01* -X21692Y-34769D01* -X21752Y-34769D01* -X21811Y-34769D01* -X21798Y-34775D01* -X21783Y-34782D01* -X21769Y-34790D01* -X21755Y-34798D01* -X21742Y-34807D01* -X21730Y-34816D01* -X21720Y-34825D01* -X21712Y-34833D01* -X21712Y-34834D01* -X21701Y-34848D01* -X21692Y-34862D01* -X21685Y-34877D01* -X21680Y-34893D01* -X21677Y-34910D01* -X21675Y-34927D01* -X21676Y-34946D01* -X21678Y-34966D01* -X21679Y-34973D01* -X21680Y-34980D01* -X21787Y-34980D01* -X21802Y-34980D01* -X21802Y-34980D01* -X21802Y-34980D01* -G37* -G36* -X18706Y-35950D02* -X18707Y-35950D01* -X18707Y-35949D01* -X18705Y-35947D01* -X18702Y-35944D01* -X18700Y-35943D01* -X18697Y-35940D01* -X18694Y-35939D01* -X18693Y-35939D01* -X18694Y-35940D01* -X18697Y-35943D01* -X18698Y-35944D01* -X18701Y-35947D01* -X18704Y-35949D01* -X18706Y-35950D01* -X18706Y-35950D01* -X18706Y-35950D01* -G37* -G36* -X3550Y-5742D02* -X3591Y-5742D01* -X3591Y-4453D01* -X3591Y-4392D01* -X3591Y-4334D01* -X3591Y-4280D01* -X3591Y-4229D01* -X3591Y-4182D01* -X3591Y-4139D01* -X3591Y-4098D01* -X3591Y-4061D01* -X3591Y-4026D01* -X3592Y-3995D01* -X3592Y-3966D01* -X3592Y-3939D01* -X3592Y-3916D01* -X3592Y-3894D01* -X3592Y-3875D01* -X3592Y-3857D01* -X3592Y-3842D01* -X3593Y-3829D01* -X3593Y-3817D01* -X3593Y-3807D01* -X3593Y-3798D01* -X3594Y-3790D01* -X3594Y-3784D01* -X3595Y-3779D01* -X3595Y-3775D01* -X3596Y-3772D01* -X3596Y-3769D01* -X3597Y-3767D01* -X3598Y-3766D01* -X3598Y-3765D01* -X3599Y-3764D01* -X3600Y-3763D01* -X3601Y-3762D01* -X3602Y-3761D01* -X3602Y-3761D01* -X3606Y-3754D01* -X3609Y-3748D01* -X3609Y-3747D01* -X3612Y-3741D01* -X3615Y-3738D01* -X3621Y-3738D01* -X3623Y-3738D01* -X3627Y-3739D01* -X3630Y-3737D01* -X3630Y-3736D01* -X3635Y-3728D01* -X3642Y-3723D01* -X3651Y-3719D01* -X3653Y-3719D01* -X3658Y-3719D01* -X3667Y-3719D01* -X3678Y-3719D01* -X3692Y-3719D01* -X3710Y-3719D01* -X3730Y-3719D01* -X3754Y-3719D01* -X3781Y-3719D01* -X3812Y-3719D01* -X3845Y-3719D01* -X3882Y-3718D01* -X3922Y-3718D01* -X3966Y-3718D01* -X4013Y-3718D01* -X4063Y-3718D01* -X4116Y-3718D01* -X4173Y-3718D01* -X4234Y-3718D01* -X4297Y-3718D01* -X4365Y-3718D01* -X4436Y-3718D01* -X4510Y-3718D01* -X4588Y-3718D01* -X4669Y-3718D01* -X4754Y-3718D01* -X4843Y-3718D01* -X4935Y-3718D01* -X5031Y-3718D01* -X5130Y-3718D01* -X5234Y-3718D01* -X5341Y-3718D01* -X5451Y-3718D01* -X5566Y-3718D01* -X5684Y-3718D01* -X5806Y-3718D01* -X5932Y-3718D01* -X6062Y-3718D01* -X6195Y-3718D01* -X6332Y-3718D01* -X6474Y-3718D01* -X6507Y-3718D01* -X6636Y-3718D01* -X6761Y-3718D01* -X6882Y-3718D01* -X7000Y-3718D01* -X7113Y-3718D01* -X7223Y-3718D01* -X7329Y-3718D01* -X7432Y-3718D01* -X7532Y-3718D01* -X7628Y-3718D01* -X7720Y-3718D01* -X7810Y-3718D01* -X7896Y-3718D01* -X7979Y-3718D01* -X8058Y-3718D01* -X8135Y-3718D01* -X8209Y-3718D01* -X8280Y-3718D01* -X8347Y-3718D01* -X8412Y-3718D01* -X8475Y-3718D01* -X8534Y-3719D01* -X8591Y-3719D01* -X8645Y-3719D01* -X8697Y-3719D01* -X8746Y-3719D01* -X8793Y-3719D01* -X8838Y-3719D01* -X8880Y-3719D01* -X8920Y-3719D01* -X8958Y-3719D01* -X8993Y-3719D01* -X9027Y-3719D01* -X9058Y-3719D01* -X9088Y-3719D01* -X9115Y-3719D01* -X9141Y-3719D01* -X9165Y-3719D01* -X9188Y-3719D01* -X9208Y-3720D01* -X9227Y-3720D01* -X9245Y-3720D01* -X9261Y-3720D01* -X9275Y-3720D01* -X9288Y-3720D01* -X9300Y-3720D01* -X9311Y-3720D01* -X9320Y-3720D01* -X9328Y-3720D01* -X9335Y-3720D01* -X9342Y-3721D01* -X9347Y-3721D01* -X9351Y-3721D01* -X9354Y-3721D01* -X9357Y-3721D01* -X9359Y-3721D01* -X9360Y-3721D01* -X9360Y-3721D01* -X9365Y-3725D01* -X9370Y-3730D01* -X9371Y-3731D01* -X9374Y-3736D01* -X9378Y-3738D01* -X9384Y-3738D01* -X9389Y-3738D01* -X9389Y-3678D01* -X9420Y-3678D01* -X9452Y-3678D01* -X9452Y-3710D01* -X9452Y-3741D01* -X9446Y-3741D01* -X9440Y-3739D01* -X9437Y-3735D01* -X9436Y-3734D01* -X9433Y-3729D01* -X9429Y-3723D01* -X9423Y-3717D01* -X9418Y-3712D01* -X9411Y-3704D01* -X9405Y-3699D01* -X9399Y-3696D01* -X9397Y-3694D01* -X9392Y-3691D01* -X9390Y-3686D01* -X9389Y-3684D01* -X9389Y-3678D01* -X9389Y-3738D01* -X9392Y-3738D01* -X9392Y-3746D01* -X9392Y-3752D01* -X9394Y-3756D01* -X9399Y-3759D01* -X9404Y-3764D01* -X9408Y-3769D01* -X9409Y-3770D01* -X9409Y-3771D01* -X9409Y-3772D01* -X9409Y-3774D01* -X9410Y-3778D01* -X9410Y-3782D01* -X9410Y-3788D01* -X9410Y-3794D01* -X9410Y-3803D01* -X9411Y-3812D01* -X9411Y-3824D01* -X9411Y-3837D01* -X9411Y-3852D01* -X9411Y-3869D01* -X9411Y-3889D01* -X9411Y-3910D01* -X9411Y-3934D01* -X9412Y-3960D01* -X9412Y-3989D01* -X9412Y-4021D01* -X9412Y-4056D01* -X9412Y-4093D01* -X9412Y-4134D01* -X9412Y-4178D01* -X9412Y-4225D01* -X9412Y-4276D01* -X9412Y-4330D01* -X9412Y-4388D01* -X9412Y-4448D01* -X9412Y-4518D01* -X9412Y-4585D01* -X9412Y-4647D01* -X9412Y-4705D01* -X9412Y-4759D01* -X9412Y-4810D01* -X9412Y-4856D01* -X9412Y-4899D01* -X9412Y-4939D01* -X9412Y-4974D01* -X9412Y-5006D01* -X9412Y-5033D01* -X9412Y-5058D01* -X9412Y-5078D01* -X9411Y-5094D01* -X9411Y-5107D01* -X9411Y-5117D01* -X9411Y-5122D01* -X9411Y-5124D01* -X9410Y-5125D01* -X9408Y-5127D01* -X9405Y-5128D01* -X9401Y-5129D01* -X9396Y-5130D01* -X9389Y-5130D01* -X9380Y-5131D01* -X9368Y-5131D01* -X9354Y-5131D01* -X9336Y-5132D01* -X9315Y-5132D01* -X9294Y-5132D01* -X9195Y-5132D01* -X9189Y-5140D01* -X9185Y-5146D01* -X9182Y-5151D01* -X9181Y-5154D01* -X9179Y-5158D01* -X9175Y-5163D01* -X9174Y-5164D01* -X9170Y-5170D01* -X9166Y-5177D01* -X9165Y-5178D01* -X9162Y-5183D01* -X9159Y-5187D01* -X9159Y-5188D01* -X9157Y-5190D01* -X9154Y-5195D01* -X9152Y-5199D01* -X9149Y-5204D01* -X9146Y-5209D01* -X9143Y-5214D01* -X9138Y-5220D01* -X9130Y-5229D01* -X9130Y-5230D01* -X9126Y-5235D01* -X9123Y-5240D01* -X9122Y-5241D01* -X9120Y-5245D01* -X9115Y-5249D01* -X9115Y-5249D01* -X9111Y-5253D01* -X9108Y-5256D01* -X9108Y-5256D01* -X9106Y-5260D01* -X9102Y-5265D01* -X9101Y-5267D01* -X9097Y-5271D01* -X9091Y-5278D01* -X9085Y-5285D01* -X9085Y-5285D01* -X9076Y-5295D01* -X9066Y-5307D01* -X9053Y-5320D01* -X9039Y-5333D01* -X9025Y-5347D01* -X9015Y-5356D01* -X9006Y-5364D01* -X8998Y-5372D01* -X8990Y-5379D01* -X8984Y-5385D01* -X8982Y-5386D01* -X8977Y-5391D01* -X8973Y-5394D01* -X8970Y-5395D01* -X8967Y-5397D01* -X8963Y-5400D01* -X8961Y-5401D01* -X8957Y-5405D01* -X8953Y-5407D01* -X8952Y-5407D01* -X8950Y-5408D01* -X8946Y-5412D01* -X8940Y-5416D01* -X8931Y-5423D01* -X8924Y-5428D01* -X8919Y-5430D01* -X8914Y-5429D01* -X8913Y-5429D01* -X8908Y-5428D01* -X8904Y-5431D01* -X8903Y-5436D01* -X8902Y-5441D01* -X8900Y-5444D01* -X8897Y-5446D01* -X8892Y-5450D01* -X8886Y-5454D01* -X8879Y-5459D01* -X8872Y-5463D01* -X8867Y-5465D01* -X8864Y-5466D01* -X8862Y-5467D01* -X8857Y-5470D01* -X8853Y-5472D01* -X8847Y-5476D01* -X8841Y-5478D01* -X8838Y-5479D01* -X8833Y-5481D01* -X8827Y-5485D01* -X8827Y-5486D01* -X8821Y-5491D01* -X8815Y-5491D01* -X8809Y-5489D01* -X8806Y-5486D01* -X8803Y-5487D01* -X8801Y-5490D01* -X8800Y-5493D01* -X8794Y-5502D01* -X8785Y-5509D01* -X8778Y-5512D01* -X8771Y-5514D01* -X8763Y-5517D01* -X8762Y-5517D01* -X8751Y-5522D01* -X8742Y-5525D01* -X8733Y-5527D01* -X8726Y-5529D01* -X8720Y-5532D01* -X8719Y-5533D01* -X8714Y-5535D01* -X8707Y-5538D01* -X8700Y-5540D01* -X8699Y-5540D01* -X8690Y-5542D01* -X8682Y-5545D01* -X8677Y-5548D01* -X8677Y-5548D01* -X8670Y-5551D01* -X8662Y-5553D01* -X8652Y-5555D01* -X8642Y-5557D01* -X8630Y-5559D01* -X8618Y-5562D01* -X8615Y-5563D01* -X8605Y-5565D01* -X8595Y-5568D01* -X8586Y-5569D01* -X8583Y-5570D01* -X8574Y-5571D01* -X8563Y-5573D01* -X8554Y-5575D01* -X8553Y-5575D01* -X8541Y-5577D01* -X8526Y-5579D01* -X8509Y-5581D01* -X8492Y-5582D01* -X8481Y-5583D01* -X8472Y-5583D01* -X8461Y-5584D01* -X8447Y-5584D01* -X8432Y-5583D01* -X8415Y-5583D01* -X8398Y-5583D01* -X8382Y-5582D01* -X8367Y-5582D01* -X8354Y-5582D01* -X8343Y-5581D01* -X8335Y-5580D01* -X8335Y-5580D01* -X8324Y-5579D01* -X8313Y-5577D01* -X8302Y-5575D01* -X8300Y-5575D01* -X8290Y-5573D01* -X8278Y-5571D01* -X8267Y-5569D01* -X8266Y-5569D01* -X8256Y-5567D01* -X8247Y-5566D01* -X8240Y-5563D01* -X8238Y-5563D01* -X8232Y-5561D01* -X8224Y-5559D01* -X8214Y-5557D01* -X8207Y-5556D01* -X8193Y-5553D01* -X8183Y-5550D01* -X8178Y-5548D01* -X8171Y-5545D01* -X8164Y-5542D01* -X8154Y-5540D01* -X8146Y-5538D01* -X8140Y-5536D01* -X8136Y-5533D01* -X8132Y-5531D01* -X8125Y-5529D01* -X8117Y-5527D01* -X8116Y-5526D01* -X8108Y-5524D01* -X8101Y-5522D01* -X8096Y-5519D01* -X8095Y-5519D01* -X8090Y-5516D01* -X8083Y-5514D01* -X8079Y-5512D01* -X8071Y-5510D01* -X8065Y-5507D01* -X8062Y-5505D01* -X8057Y-5501D01* -X8051Y-5498D01* -X8049Y-5498D01* -X8042Y-5495D01* -X8036Y-5492D01* -X8035Y-5491D01* -X8029Y-5487D01* -X8022Y-5484D01* -X8020Y-5483D01* -X8013Y-5480D01* -X8007Y-5477D01* -X8006Y-5475D01* -X8000Y-5472D01* -X7994Y-5469D01* -X7992Y-5468D01* -X7985Y-5465D01* -X7977Y-5461D01* -X7975Y-5459D01* -X7971Y-5455D01* -X7967Y-5453D01* -X7966Y-5453D01* -X7963Y-5451D01* -X7959Y-5448D01* -X7958Y-5447D01* -X7952Y-5443D01* -X7946Y-5439D01* -X7945Y-5439D01* -X7939Y-5436D01* -X7934Y-5431D01* -X7929Y-5427D01* -X7923Y-5423D01* -X7923Y-5423D01* -X7917Y-5420D01* -X7913Y-5417D01* -X7913Y-5416D01* -X7910Y-5414D01* -X7904Y-5410D01* -X7902Y-5409D01* -X7897Y-5407D01* -X7894Y-5404D01* -X7893Y-5403D01* -X7892Y-5401D01* -X7888Y-5398D01* -X7884Y-5396D01* -X7880Y-5392D01* -X7873Y-5387D01* -X7864Y-5379D01* -X7854Y-5370D01* -X7844Y-5361D01* -X7834Y-5351D01* -X7824Y-5342D01* -X7819Y-5337D01* -X7813Y-5331D01* -X7807Y-5326D01* -X7803Y-5323D01* -X7802Y-5323D01* -X7798Y-5320D01* -X7793Y-5315D01* -X7792Y-5313D01* -X7789Y-5309D01* -X7784Y-5303D01* -X7777Y-5295D01* -X7770Y-5287D01* -X7768Y-5284D01* -X7761Y-5276D01* -X7753Y-5267D01* -X7747Y-5260D01* -X7743Y-5255D01* -X7742Y-5254D01* -X7736Y-5248D01* -X7730Y-5240D01* -X7726Y-5236D01* -X7722Y-5230D01* -X7719Y-5226D01* -X7718Y-5223D01* -X7717Y-5220D01* -X7714Y-5217D01* -X7710Y-5213D01* -X7707Y-5207D01* -X7703Y-5202D01* -X7699Y-5196D01* -X7697Y-5194D01* -X7693Y-5189D01* -X7691Y-5185D01* -X7690Y-5183D01* -X7689Y-5179D01* -X7686Y-5174D01* -X7683Y-5171D01* -X7680Y-5166D01* -X7677Y-5162D01* -X7677Y-5160D01* -X7675Y-5157D01* -X7672Y-5152D01* -X7671Y-5151D01* -X7666Y-5145D01* -X7662Y-5139D01* -X7662Y-5138D01* -X7659Y-5132D01* -X5635Y-5132D01* -X5514Y-5132D01* -X5397Y-5132D01* -X5284Y-5132D01* -X5175Y-5132D01* -X5070Y-5132D01* -X4968Y-5132D01* -X4870Y-5132D01* -X4777Y-5132D01* -X4686Y-5132D01* -X4600Y-5131D01* -X4518Y-5131D01* -X4439Y-5131D01* -X4363Y-5131D01* -X4292Y-5131D01* -X4224Y-5131D01* -X4160Y-5131D01* -X4099Y-5131D01* -X4042Y-5131D01* -X3989Y-5131D01* -X3939Y-5131D01* -X3892Y-5131D01* -X3850Y-5131D01* -X3810Y-5131D01* -X3774Y-5131D01* -X3742Y-5131D01* -X3713Y-5130D01* -X3688Y-5130D01* -X3665Y-5130D01* -X3647Y-5130D01* -X3631Y-5130D01* -X3619Y-5130D01* -X3610Y-5130D01* -X3605Y-5130D01* -X3603Y-5130D01* -X3597Y-5127D01* -X3594Y-5124D01* -X3593Y-5124D01* -X3593Y-5121D01* -X3593Y-5115D01* -X3593Y-5105D01* -X3593Y-5091D01* -X3592Y-5073D01* -X3592Y-5052D01* -X3592Y-5026D01* -X3592Y-4997D01* -X3592Y-4963D01* -X3592Y-4926D01* -X3592Y-4885D01* -X3592Y-4840D01* -X3592Y-4791D01* -X3591Y-4738D01* -X3591Y-4682D01* -X3591Y-4621D01* -X3591Y-4557D01* -X3591Y-4488D01* -X3591Y-4453D01* -X3591Y-5742D01* -X4678Y-5742D01* -X4678Y-5608D01* -X4679Y-5601D01* -X4682Y-5595D01* -X4683Y-5594D01* -X4686Y-5590D01* -X4688Y-5583D01* -X4689Y-5579D01* -X4692Y-5566D01* -X4696Y-5559D01* -X4699Y-5552D01* -X4702Y-5545D01* -X4703Y-5542D01* -X4704Y-5534D01* -X4705Y-5528D01* -X4707Y-5524D01* -X4710Y-5520D01* -X4710Y-5520D01* -X4714Y-5513D01* -X4718Y-5502D01* -X4718Y-5500D01* -X4721Y-5489D01* -X4725Y-5481D01* -X4726Y-5480D01* -X4730Y-5472D01* -X4732Y-5465D01* -X4733Y-5456D01* -X4734Y-5451D01* -X4736Y-5446D01* -X4738Y-5443D01* -X4740Y-5441D01* -X4743Y-5436D01* -X4746Y-5428D01* -X4748Y-5419D01* -X4749Y-5411D01* -X4749Y-5411D01* -X4750Y-5409D01* -X4753Y-5405D01* -X4754Y-5403D01* -X4759Y-5395D01* -X4761Y-5386D01* -X4762Y-5384D01* -X4763Y-5375D01* -X4765Y-5369D01* -X4769Y-5363D01* -X4772Y-5359D01* -X4776Y-5352D01* -X4776Y-5346D01* -X4778Y-5335D01* -X4783Y-5325D01* -X4785Y-5322D01* -X4789Y-5315D01* -X4791Y-5305D01* -X4792Y-5298D01* -X4794Y-5292D01* -X4797Y-5288D01* -X4801Y-5285D01* -X4807Y-5283D01* -X4816Y-5282D01* -X4827Y-5281D01* -X4842Y-5281D01* -X4847Y-5281D01* -X4859Y-5281D01* -X4868Y-5281D01* -X4875Y-5282D01* -X4879Y-5282D01* -X4882Y-5283D01* -X4884Y-5284D01* -X4885Y-5285D01* -X4888Y-5289D01* -X4889Y-5293D01* -X4890Y-5297D01* -X4893Y-5302D01* -X4894Y-5303D01* -X4898Y-5309D01* -X4900Y-5315D01* -X4902Y-5324D01* -X4903Y-5325D01* -X4905Y-5334D01* -X4907Y-5340D01* -X4910Y-5345D01* -X4914Y-5350D01* -X4916Y-5357D01* -X4917Y-5362D01* -X4919Y-5372D01* -X4923Y-5380D01* -X4924Y-5381D01* -X4929Y-5390D01* -X4931Y-5398D01* -X4932Y-5406D01* -X4933Y-5412D01* -X4935Y-5416D01* -X4939Y-5421D01* -X4939Y-5422D01* -X4944Y-5428D01* -X4946Y-5436D01* -X4947Y-5441D01* -X4949Y-5451D01* -X4952Y-5458D01* -X4953Y-5458D01* -X4959Y-5468D01* -X4961Y-5479D01* -X4961Y-5481D01* -X4963Y-5487D01* -X4966Y-5494D01* -X4968Y-5497D01* -X4974Y-5508D01* -X4976Y-5519D01* -X4978Y-5527D01* -X4980Y-5533D01* -X4982Y-5536D01* -X4986Y-5541D01* -X4989Y-5548D01* -X4990Y-5555D01* -X4992Y-5563D01* -X4994Y-5570D01* -X4997Y-5574D01* -X4997Y-5575D01* -X5002Y-5582D01* -X5004Y-5589D01* -X5004Y-5518D01* -X5004Y-5514D01* -X5006Y-5509D01* -X5007Y-5507D01* -X5009Y-5500D01* -X5010Y-5492D01* -X5011Y-5490D01* -X5011Y-5482D01* -X5012Y-5476D01* -X5015Y-5470D01* -X5020Y-5463D01* -X5026Y-5456D01* -X5032Y-5450D01* -X5035Y-5447D01* -X5039Y-5443D01* -X5043Y-5439D01* -X5049Y-5434D01* -X5056Y-5430D01* -X5060Y-5430D01* -X5069Y-5427D01* -X5074Y-5423D01* -X5077Y-5420D01* -X5081Y-5418D01* -X5086Y-5416D01* -X5094Y-5415D01* -X5104Y-5414D01* -X5114Y-5413D01* -X5122Y-5410D01* -X5124Y-5408D01* -X5130Y-5405D01* -X5136Y-5403D01* -X5145Y-5401D01* -X5151Y-5400D01* -X5160Y-5399D01* -X5168Y-5397D01* -X5173Y-5395D01* -X5174Y-5395D01* -X5180Y-5391D01* -X5184Y-5388D01* -X5192Y-5382D01* -X5196Y-5377D01* -X5198Y-5373D01* -X5198Y-5372D01* -X5196Y-5367D01* -X5193Y-5361D01* -X5188Y-5355D01* -X5183Y-5350D01* -X5180Y-5349D01* -X5175Y-5348D01* -X5168Y-5346D01* -X5166Y-5345D01* -X5161Y-5344D01* -X5158Y-5343D01* -X5154Y-5343D01* -X5148Y-5344D01* -X5140Y-5346D01* -X5133Y-5347D01* -X5110Y-5353D01* -X5107Y-5366D01* -X5104Y-5374D01* -X5101Y-5380D01* -X5096Y-5386D01* -X5095Y-5386D01* -X5087Y-5394D01* -X5055Y-5393D01* -X5043Y-5393D01* -X5034Y-5392D01* -X5028Y-5392D01* -X5023Y-5391D01* -X5021Y-5390D01* -X5019Y-5389D01* -X5019Y-5389D01* -X5015Y-5383D01* -X5015Y-5376D01* -X5016Y-5367D01* -X5019Y-5361D01* -X5022Y-5354D01* -X5024Y-5346D01* -X5025Y-5342D01* -X5026Y-5335D01* -X5029Y-5329D01* -X5032Y-5326D01* -X5036Y-5321D01* -X5042Y-5315D01* -X5048Y-5308D01* -X5048Y-5308D01* -X5054Y-5303D01* -X5059Y-5298D01* -X5062Y-5296D01* -X5062Y-5296D01* -X5067Y-5294D01* -X5072Y-5290D01* -X5073Y-5289D01* -X5081Y-5284D01* -X5088Y-5283D01* -X5095Y-5281D01* -X5103Y-5278D01* -X5107Y-5276D01* -X5110Y-5274D01* -X5113Y-5272D01* -X5117Y-5271D01* -X5121Y-5271D01* -X5128Y-5271D01* -X5137Y-5270D01* -X5149Y-5270D01* -X5149Y-5270D01* -X5162Y-5270D01* -X5171Y-5271D01* -X5177Y-5271D01* -X5182Y-5272D01* -X5186Y-5273D01* -X5189Y-5274D01* -X5193Y-5276D01* -X5201Y-5279D01* -X5209Y-5282D01* -X5213Y-5283D01* -X5219Y-5285D01* -X5224Y-5287D01* -X5225Y-5288D01* -X5229Y-5291D01* -X5234Y-5294D01* -X5236Y-5295D01* -X5244Y-5301D01* -X5253Y-5309D01* -X5261Y-5318D01* -X5267Y-5327D01* -X5270Y-5334D01* -X5272Y-5342D01* -X5275Y-5348D01* -X5277Y-5351D01* -X5279Y-5354D01* -X5280Y-5359D01* -X5281Y-5365D01* -X5282Y-5374D01* -X5282Y-5376D01* -X5283Y-5395D01* -X5274Y-5412D01* -X5266Y-5426D01* -X5257Y-5438D01* -X5248Y-5447D01* -X5238Y-5453D01* -X5237Y-5454D01* -X5230Y-5457D01* -X5225Y-5460D01* -X5224Y-5462D01* -X5221Y-5465D01* -X5216Y-5467D01* -X5209Y-5468D01* -X5208Y-5468D01* -X5197Y-5470D01* -X5188Y-5475D01* -X5182Y-5478D01* -X5175Y-5480D01* -X5166Y-5482D01* -X5153Y-5483D01* -X5145Y-5484D01* -X5142Y-5485D01* -X5138Y-5488D01* -X5135Y-5489D01* -X5128Y-5493D01* -X5120Y-5496D01* -X5118Y-5496D01* -X5111Y-5498D01* -X5105Y-5501D01* -X5100Y-5506D01* -X5096Y-5510D01* -X5094Y-5514D01* -X5093Y-5518D01* -X5093Y-5523D01* -X5093Y-5527D01* -X5094Y-5537D01* -X5097Y-5543D01* -X5101Y-5546D01* -X5105Y-5547D01* -X5109Y-5548D01* -X5115Y-5550D01* -X5122Y-5552D01* -X5129Y-5555D01* -X5136Y-5556D01* -X5142Y-5556D01* -X5150Y-5555D01* -X5160Y-5553D01* -X5171Y-5550D01* -X5183Y-5546D01* -X5192Y-5541D01* -X5198Y-5535D01* -X5201Y-5528D01* -X5202Y-5522D01* -X5204Y-5514D01* -X5205Y-5509D01* -X5208Y-5505D01* -X5212Y-5503D01* -X5215Y-5501D01* -X5220Y-5499D01* -X5224Y-5497D01* -X5229Y-5496D01* -X5235Y-5496D01* -X5244Y-5496D01* -X5251Y-5496D01* -X5262Y-5496D01* -X5270Y-5496D01* -X5276Y-5497D01* -X5280Y-5498D01* -X5283Y-5499D01* -X5284Y-5500D01* -X5290Y-5505D01* -X5293Y-5510D01* -X5292Y-5517D01* -X5290Y-5521D01* -X5287Y-5529D01* -X5285Y-5539D01* -X5284Y-5545D01* -X5283Y-5553D01* -X5282Y-5558D01* -X5280Y-5562D01* -X5277Y-5566D01* -X5274Y-5569D01* -X5270Y-5573D01* -X5267Y-5577D01* -X5266Y-5578D01* -X5265Y-5581D01* -X5261Y-5583D01* -X5257Y-5586D01* -X5253Y-5591D01* -X5249Y-5596D01* -X5243Y-5599D01* -X5243Y-5599D01* -X5237Y-5603D01* -X5231Y-5607D01* -X5231Y-5607D01* -X5226Y-5610D01* -X5219Y-5613D01* -X5217Y-5614D01* -X5209Y-5616D01* -X5201Y-5619D01* -X5199Y-5620D01* -X5193Y-5623D01* -X5188Y-5625D01* -X5181Y-5626D01* -X5173Y-5627D01* -X5162Y-5627D01* -X5149Y-5627D01* -X5143Y-5627D01* -X5131Y-5627D01* -X5122Y-5626D01* -X5115Y-5626D01* -X5109Y-5625D01* -X5105Y-5624D01* -X5100Y-5622D01* -X5097Y-5621D01* -X5089Y-5618D01* -X5081Y-5616D01* -X5076Y-5614D01* -X5069Y-5612D01* -X5062Y-5608D01* -X5061Y-5606D01* -X5056Y-5603D01* -X5052Y-5600D01* -X5051Y-5600D01* -X5048Y-5599D01* -X5043Y-5595D01* -X5038Y-5590D01* -X5033Y-5585D01* -X5028Y-5580D01* -X5026Y-5576D01* -X5025Y-5575D01* -X5023Y-5571D01* -X5018Y-5568D01* -X5016Y-5566D01* -X5014Y-5564D01* -X5012Y-5560D01* -X5011Y-5555D01* -X5010Y-5547D01* -X5010Y-5540D01* -X5008Y-5533D01* -X5007Y-5526D01* -X5006Y-5522D01* -X5004Y-5518D01* -X5004Y-5589D01* -X5004Y-5589D01* -X5005Y-5599D01* -X5005Y-5601D01* -X5005Y-5608D01* -X5004Y-5612D01* -X5002Y-5615D01* -X5001Y-5616D01* -X4999Y-5618D01* -X4996Y-5619D01* -X4993Y-5619D01* -X4988Y-5620D01* -X4980Y-5620D01* -X4970Y-5620D01* -X4964Y-5619D01* -X4952Y-5619D01* -X4943Y-5619D01* -X4937Y-5618D01* -X4933Y-5618D01* -X4930Y-5617D01* -X4928Y-5615D01* -X4927Y-5613D01* -X4923Y-5609D01* -X4922Y-5605D01* -X4922Y-5604D01* -X4921Y-5601D01* -X4918Y-5596D01* -X4916Y-5593D01* -X4911Y-5584D01* -X4909Y-5577D01* -X4908Y-5567D01* -X4906Y-5561D01* -X4904Y-5556D01* -X4900Y-5553D01* -X4899Y-5552D01* -X4897Y-5551D01* -X4894Y-5550D01* -X4890Y-5550D01* -X4885Y-5549D01* -X4878Y-5549D01* -X4868Y-5549D01* -X4855Y-5549D01* -X4841Y-5549D01* -X4825Y-5549D01* -X4812Y-5549D01* -X4803Y-5549D01* -X4796Y-5549D01* -X4791Y-5550D01* -X4789Y-5550D01* -X4788Y-5551D01* -X4787Y-5554D01* -X4785Y-5560D01* -X4784Y-5566D01* -X4782Y-5575D01* -X4778Y-5582D01* -X4777Y-5582D01* -X4773Y-5589D01* -X4771Y-5597D01* -X4770Y-5604D01* -X4768Y-5609D01* -X4767Y-5611D01* -X4763Y-5615D01* -X4755Y-5617D01* -X4744Y-5619D01* -X4728Y-5620D01* -X4720Y-5620D01* -X4706Y-5619D01* -X4695Y-5618D01* -X4687Y-5616D01* -X4682Y-5613D01* -X4681Y-5613D01* -X4678Y-5608D01* -X4678Y-5742D01* -X6052Y-5742D01* -X6052Y-5294D01* -X6054Y-5289D01* -X6056Y-5287D01* -X6057Y-5285D01* -X6059Y-5284D01* -X6061Y-5283D01* -X6065Y-5283D01* -X6072Y-5282D01* -X6080Y-5282D01* -X6092Y-5282D01* -X6095Y-5282D01* -X6110Y-5281D01* -X6122Y-5281D01* -X6131Y-5282D01* -X6137Y-5282D01* -X6141Y-5283D01* -X6144Y-5285D01* -X6146Y-5287D01* -X6147Y-5290D01* -X6151Y-5296D01* -X6155Y-5301D01* -X6159Y-5305D01* -X6162Y-5312D01* -X6163Y-5315D01* -X6166Y-5321D01* -X6168Y-5325D01* -X6170Y-5327D01* -X6173Y-5329D01* -X6176Y-5334D01* -X6177Y-5337D01* -X6180Y-5343D01* -X6184Y-5349D01* -X6185Y-5350D01* -X6188Y-5355D01* -X6191Y-5362D01* -X6191Y-5363D01* -X6194Y-5370D01* -X6198Y-5374D01* -X6198Y-5375D01* -X6202Y-5379D01* -X6205Y-5385D01* -X6206Y-5386D01* -X6207Y-5392D01* -X6209Y-5396D01* -X6210Y-5396D01* -X6212Y-5396D01* -X6215Y-5394D01* -X6220Y-5390D01* -X6223Y-5386D01* -X6226Y-5381D01* -X6227Y-5379D01* -X6229Y-5373D01* -X6233Y-5365D01* -X6236Y-5363D01* -X6240Y-5358D01* -X6242Y-5353D01* -X6244Y-5348D01* -X6248Y-5342D01* -X6249Y-5341D01* -X6253Y-5335D01* -X6256Y-5328D01* -X6256Y-5327D01* -X6259Y-5320D01* -X6263Y-5316D01* -X6263Y-5316D01* -X6267Y-5312D01* -X6270Y-5306D01* -X6270Y-5305D01* -X6273Y-5297D01* -X6277Y-5291D01* -X6281Y-5287D01* -X6284Y-5285D01* -X6288Y-5284D01* -X6291Y-5283D01* -X6296Y-5282D01* -X6303Y-5282D01* -X6312Y-5281D01* -X6324Y-5281D01* -X6326Y-5281D01* -X6338Y-5281D01* -X6348Y-5282D01* -X6357Y-5282D01* -X6363Y-5283D01* -X6366Y-5283D01* -X6370Y-5287D01* -X6372Y-5292D01* -X6371Y-5300D01* -X6367Y-5308D01* -X6361Y-5317D01* -X6359Y-5319D01* -X6356Y-5324D01* -X6353Y-5329D01* -X6353Y-5330D01* -X6352Y-5334D01* -X6349Y-5339D01* -X6346Y-5342D01* -X6342Y-5348D01* -X6338Y-5354D01* -X6337Y-5357D01* -X6335Y-5362D01* -X6332Y-5366D01* -X6331Y-5366D01* -X6329Y-5369D01* -X6326Y-5374D01* -X6324Y-5378D01* -X6322Y-5384D01* -X6318Y-5389D01* -X6316Y-5391D01* -X6313Y-5394D01* -X6310Y-5400D01* -X6309Y-5403D01* -X6306Y-5410D01* -X6301Y-5417D01* -X6300Y-5418D01* -X6296Y-5423D01* -X6294Y-5427D01* -X6294Y-5429D01* -X6293Y-5432D01* -X6289Y-5437D01* -X6288Y-5437D01* -X6284Y-5443D01* -X6281Y-5450D01* -X6280Y-5451D01* -X6277Y-5458D01* -X6273Y-5463D01* -X6272Y-5464D01* -X6269Y-5468D01* -X6267Y-5472D01* -X6265Y-5477D01* -X6263Y-5484D01* -X6262Y-5494D01* -X6261Y-5506D01* -X6261Y-5521D01* -X6261Y-5539D01* -X6261Y-5551D01* -X6261Y-5568D01* -X6261Y-5581D01* -X6261Y-5591D01* -X6260Y-5599D01* -X6259Y-5605D01* -X6258Y-5608D01* -X6256Y-5611D01* -X6254Y-5613D01* -X6251Y-5615D01* -X6248Y-5616D01* -X6244Y-5618D01* -X6240Y-5619D01* -X6235Y-5619D01* -X6227Y-5619D01* -X6217Y-5619D01* -X6212Y-5619D01* -X6202Y-5619D01* -X6192Y-5618D01* -X6184Y-5617D01* -X6179Y-5616D01* -X6178Y-5616D01* -X6174Y-5613D01* -X6171Y-5610D01* -X6171Y-5610D01* -X6170Y-5608D01* -X6170Y-5601D01* -X6170Y-5592D01* -X6170Y-5581D01* -X6170Y-5568D01* -X6170Y-5553D01* -X6170Y-5549D01* -X6170Y-5533D01* -X6170Y-5518D01* -X6169Y-5506D01* -X6169Y-5496D01* -X6169Y-5488D01* -X6168Y-5484D01* -X6168Y-5484D01* -X6166Y-5479D01* -X6161Y-5473D01* -X6160Y-5471D01* -X6156Y-5466D01* -X6154Y-5462D01* -X6154Y-5460D01* -X6153Y-5457D01* -X6149Y-5452D01* -X6147Y-5450D01* -X6143Y-5444D01* -X6139Y-5438D01* -X6139Y-5436D01* -X6136Y-5430D01* -X6132Y-5423D01* -X6131Y-5422D01* -X6127Y-5417D01* -X6125Y-5413D01* -X6125Y-5412D01* -X6123Y-5409D01* -X6120Y-5405D01* -X6119Y-5402D01* -X6114Y-5396D01* -X6111Y-5390D01* -X6111Y-5389D01* -X6108Y-5382D01* -X6103Y-5377D01* -X6103Y-5376D01* -X6098Y-5370D01* -X6095Y-5362D01* -X6092Y-5356D01* -X6088Y-5351D01* -X6088Y-5351D01* -X6084Y-5347D01* -X6081Y-5341D01* -X6081Y-5341D01* -X6078Y-5334D01* -X6074Y-5328D01* -X6073Y-5328D01* -X6069Y-5322D01* -X6067Y-5317D01* -X6066Y-5316D01* -X6064Y-5311D01* -X6060Y-5305D01* -X6058Y-5304D01* -X6054Y-5298D01* -X6052Y-5294D01* -X6052Y-5742D01* -X6376Y-5742D01* -X6376Y-5516D01* -X6376Y-5505D01* -X6376Y-5498D01* -X6376Y-5493D01* -X6377Y-5489D01* -X6379Y-5486D01* -X6382Y-5482D01* -X6382Y-5481D01* -X6385Y-5475D01* -X6388Y-5470D01* -X6388Y-5468D01* -X6390Y-5465D01* -X6393Y-5461D01* -X6394Y-5460D01* -X6399Y-5455D01* -X6403Y-5451D01* -X6403Y-5450D01* -X6407Y-5446D01* -X6411Y-5444D01* -X6418Y-5440D01* -X6421Y-5435D01* -X6421Y-5430D01* -X6417Y-5425D01* -X6413Y-5422D01* -X6408Y-5417D01* -X6405Y-5412D01* -X6403Y-5408D01* -X6401Y-5401D01* -X6398Y-5393D01* -X6393Y-5383D01* -X6393Y-5383D01* -X6390Y-5374D01* -X6389Y-5364D01* -X6391Y-5352D01* -X6394Y-5341D01* -X6396Y-5338D01* -X6399Y-5331D01* -X6402Y-5324D01* -X6404Y-5320D01* -X6407Y-5315D01* -X6411Y-5313D01* -X6415Y-5309D01* -X6418Y-5306D01* -X6421Y-5302D01* -X6426Y-5298D01* -X6428Y-5297D01* -X6435Y-5293D01* -X6441Y-5289D01* -X6443Y-5288D01* -X6446Y-5287D01* -X6448Y-5285D01* -X6453Y-5284D01* -X6458Y-5284D01* -X6466Y-5283D01* -X6478Y-5283D01* -X6480Y-5283D01* -X6490Y-5282D01* -X6502Y-5282D01* -X6517Y-5282D01* -X6534Y-5282D01* -X6552Y-5281D01* -X6570Y-5281D01* -X6582Y-5281D01* -X6600Y-5281D01* -X6616Y-5281D01* -X6628Y-5281D01* -X6637Y-5282D01* -X6644Y-5282D01* -X6649Y-5282D01* -X6652Y-5283D01* -X6654Y-5283D01* -X6656Y-5284D01* -X6657Y-5285D01* -X6657Y-5285D01* -X6658Y-5286D01* -X6658Y-5287D01* -X6659Y-5288D01* -X6659Y-5291D01* -X6660Y-5294D01* -X6660Y-5299D01* -X6660Y-5305D01* -X6661Y-5312D01* -X6661Y-5322D01* -X6661Y-5335D01* -X6661Y-5349D01* -X6661Y-5367D01* -X6661Y-5388D01* -X6661Y-5412D01* -X6661Y-5439D01* -X6661Y-5447D01* -X6661Y-5478D01* -X6661Y-5505D01* -X6661Y-5530D01* -X6661Y-5552D01* -X6660Y-5571D01* -X6660Y-5586D01* -X6660Y-5598D01* -X6660Y-5606D01* -X6659Y-5610D01* -X6659Y-5611D01* -X6656Y-5615D01* -X6653Y-5618D01* -X6649Y-5618D01* -X6643Y-5619D01* -X6634Y-5619D01* -X6622Y-5619D01* -X6608Y-5619D01* -X6594Y-5620D01* -X6578Y-5620D01* -X6562Y-5620D01* -X6547Y-5620D01* -X6533Y-5619D01* -X6520Y-5619D01* -X6509Y-5619D01* -X6502Y-5619D01* -X6496Y-5618D01* -X6495Y-5618D01* -X6489Y-5617D01* -X6481Y-5616D01* -X6471Y-5615D01* -X6464Y-5614D01* -X6454Y-5613D01* -X6447Y-5613D01* -X6443Y-5612D01* -X6440Y-5610D01* -X6437Y-5608D01* -X6436Y-5606D01* -X6431Y-5602D01* -X6427Y-5600D01* -X6426Y-5600D01* -X6418Y-5598D01* -X6411Y-5593D01* -X6404Y-5586D01* -X6399Y-5580D01* -X6395Y-5575D01* -X6393Y-5573D01* -X6390Y-5568D01* -X6387Y-5563D01* -X6385Y-5557D01* -X6382Y-5550D01* -X6381Y-5548D01* -X6379Y-5545D01* -X6377Y-5541D01* -X6376Y-5537D01* -X6376Y-5532D01* -X6376Y-5524D01* -X6376Y-5516D01* -X6376Y-5742D01* -X6502Y-5742D01* -X9452Y-5742D01* -X9452Y-4757D01* -X9452Y-4696D01* -X9452Y-4634D01* -X9452Y-4573D01* -X9452Y-4514D01* -X9452Y-4455D01* -X9452Y-4398D01* -X9452Y-4342D01* -X9452Y-4288D01* -X9452Y-4236D01* -X9452Y-4185D01* -X9452Y-4136D01* -X9452Y-4090D01* -X9452Y-4045D01* -X9452Y-4003D01* -X9453Y-3964D01* -X9453Y-3926D01* -X9453Y-3892D01* -X9453Y-3860D01* -X9453Y-3832D01* -X9453Y-3806D01* -X9453Y-3784D01* -X9453Y-3765D01* -X9453Y-3750D01* -X9453Y-3738D01* -X9453Y-3729D01* -X9453Y-3725D01* -X9455Y-3677D01* -X6502Y-3677D01* -X6395Y-3677D01* -X6288Y-3677D01* -X6182Y-3677D01* -X6078Y-3677D01* -X5974Y-3677D01* -X5872Y-3677D01* -X5772Y-3677D01* -X5672Y-3677D01* -X5574Y-3677D01* -X5478Y-3677D01* -X5383Y-3677D01* -X5290Y-3677D01* -X5199Y-3677D01* -X5109Y-3677D01* -X5021Y-3677D01* -X4935Y-3677D01* -X4851Y-3677D01* -X4770Y-3677D01* -X4690Y-3677D01* -X4612Y-3677D01* -X4537Y-3677D01* -X4464Y-3677D01* -X4394Y-3677D01* -X4325Y-3677D01* -X4260Y-3677D01* -X4197Y-3677D01* -X4137Y-3677D01* -X4079Y-3677D01* -X4024Y-3677D01* -X3972Y-3677D01* -X3923Y-3677D01* -X3877Y-3677D01* -X3834Y-3677D01* -X3795Y-3677D01* -X3758Y-3677D01* -X3725Y-3677D01* -X3694Y-3677D01* -X3668Y-3677D01* -X3645Y-3677D01* -X3625Y-3678D01* -X3609Y-3678D01* -X3597Y-3678D01* -X3588Y-3678D01* -X3583Y-3678D01* -X3615Y-3678D01* -X3615Y-3685D01* -X3613Y-3690D01* -X3609Y-3693D01* -X3607Y-3694D01* -X3603Y-3697D01* -X3597Y-3701D01* -X3590Y-3707D01* -X3585Y-3713D01* -X3578Y-3720D01* -X3573Y-3726D01* -X3570Y-3731D01* -X3568Y-3734D01* -X3565Y-3738D01* -X3561Y-3740D01* -X3557Y-3741D01* -X3550Y-3741D01* -X3550Y-4741D01* -X3550Y-5742D01* -X3550Y-5742D01* -X3550Y-5742D01* -G37* -G36* -X6466Y-5515D02* -X6467Y-5524D01* -X6470Y-5532D01* -X6474Y-5540D01* -X6479Y-5544D01* -X6482Y-5545D01* -X6486Y-5546D01* -X6493Y-5546D01* -X6502Y-5547D01* -X6512Y-5547D01* -X6524Y-5547D01* -X6536Y-5547D01* -X6547Y-5547D01* -X6558Y-5547D01* -X6567Y-5547D01* -X6573Y-5547D01* -X6576Y-5546D01* -X6576Y-5546D01* -X6576Y-5543D01* -X6577Y-5538D01* -X6577Y-5530D01* -X6577Y-5520D01* -X6577Y-5510D01* -X6577Y-5500D01* -X6576Y-5491D01* -X6576Y-5484D01* -X6576Y-5479D01* -X6575Y-5479D01* -X6575Y-5477D01* -X6573Y-5476D01* -X6570Y-5476D01* -X6565Y-5475D01* -X6558Y-5475D01* -X6547Y-5476D01* -X6544Y-5476D01* -X6533Y-5476D01* -X6521Y-5477D01* -X6511Y-5478D01* -X6504Y-5479D01* -X6502Y-5479D01* -X6495Y-5481D01* -X6488Y-5482D01* -X6483Y-5482D01* -X6478Y-5483D01* -X6474Y-5484D01* -X6473Y-5484D01* -X6471Y-5487D01* -X6469Y-5493D01* -X6467Y-5501D01* -X6466Y-5510D01* -X6466Y-5515D01* -X6466Y-5515D01* -X6466Y-5515D01* -G37* -G36* -X6478Y-5380D02* -X6478Y-5385D01* -X6478Y-5385D01* -X6480Y-5391D01* -X6484Y-5397D01* -X6489Y-5401D01* -X6493Y-5403D01* -X6494Y-5403D01* -X6497Y-5404D01* -X6502Y-5407D01* -X6503Y-5408D01* -X6506Y-5409D01* -X6510Y-5411D01* -X6515Y-5412D01* -X6521Y-5412D01* -X6530Y-5413D01* -X6540Y-5413D01* -X6551Y-5413D01* -X6560Y-5414D01* -X6568Y-5414D01* -X6572Y-5414D01* -X6573Y-5413D01* -X6576Y-5412D01* -X6576Y-5411D01* -X6577Y-5408D01* -X6577Y-5401D01* -X6577Y-5393D01* -X6577Y-5383D01* -X6577Y-5374D01* -X6577Y-5365D01* -X6577Y-5358D01* -X6577Y-5354D01* -X6577Y-5353D01* -X6575Y-5352D01* -X6572Y-5351D01* -X6565Y-5350D01* -X6556Y-5350D01* -X6543Y-5350D01* -X6541Y-5350D01* -X6529Y-5350D01* -X6518Y-5350D01* -X6508Y-5351D01* -X6501Y-5351D01* -X6498Y-5351D01* -X6493Y-5352D01* -X6490Y-5354D01* -X6487Y-5356D01* -X6485Y-5361D01* -X6483Y-5366D01* -X6479Y-5374D01* -X6478Y-5380D01* -X6478Y-5380D01* -X6478Y-5380D01* -G37* -G36* -X4816Y-5469D02* -X4817Y-5473D01* -X4819Y-5476D01* -X4822Y-5476D01* -X4829Y-5476D01* -X4837Y-5477D01* -X4847Y-5477D01* -X4859Y-5476D01* -X4867Y-5475D01* -X4872Y-5474D01* -X4874Y-5472D01* -X4874Y-5469D01* -X4871Y-5465D01* -X4867Y-5460D01* -X4865Y-5452D01* -X4865Y-5448D01* -X4862Y-5437D01* -X4858Y-5429D01* -X4854Y-5420D01* -X4851Y-5409D01* -X4850Y-5407D01* -X4849Y-5400D01* -X4848Y-5395D01* -X4846Y-5392D01* -X4846Y-5392D01* -X4844Y-5394D01* -X4841Y-5399D01* -X4838Y-5406D01* -X4835Y-5413D01* -X4832Y-5421D01* -X4830Y-5429D01* -X4829Y-5433D01* -X4826Y-5446D01* -X4822Y-5454D01* -X4817Y-5462D01* -X4816Y-5469D01* -X4816Y-5469D01* -X4816Y-5469D01* -G37* -G36* -X7668Y-4651D02* -X7668Y-4666D01* -X7669Y-4681D01* -X7670Y-4704D01* -X7671Y-4723D01* -X7672Y-4740D01* -X7674Y-4754D01* -X7675Y-4766D01* -X7676Y-4777D01* -X7678Y-4786D01* -X7679Y-4793D01* -X7682Y-4804D01* -X7684Y-4815D01* -X7686Y-4825D01* -X7686Y-4830D01* -X7688Y-4839D01* -X7691Y-4849D01* -X7693Y-4858D01* -X7696Y-4866D01* -X7699Y-4875D01* -X7700Y-4881D01* -X7703Y-4889D01* -X7706Y-4899D01* -X7708Y-4903D01* -X7711Y-4911D01* -X7714Y-4919D01* -X7715Y-4923D01* -X7717Y-4930D01* -X7720Y-4937D01* -X7721Y-4939D01* -X7724Y-4946D01* -X7727Y-4953D01* -X7728Y-4954D01* -X7730Y-4960D01* -X7734Y-4967D01* -X7736Y-4970D01* -X7740Y-4978D01* -X7743Y-4986D01* -X7744Y-4989D01* -X7747Y-4995D01* -X7749Y-5000D01* -X7751Y-5002D01* -X7753Y-5005D01* -X7756Y-5010D01* -X7757Y-5013D01* -X7761Y-5020D01* -X7766Y-5027D01* -X7767Y-5028D01* -X7771Y-5034D01* -X7774Y-5039D01* -X7774Y-5040D01* -X7776Y-5046D01* -X7780Y-5051D01* -X7780Y-5052D01* -X7784Y-5057D01* -X7788Y-5064D01* -X7789Y-5065D01* -X7791Y-5068D01* -X7791Y-4642D01* -X7791Y-4634D01* -X7791Y-4625D01* -X7791Y-4614D01* -X7792Y-4602D01* -X7792Y-4587D01* -X7793Y-4570D01* -X7796Y-4511D01* -X7801Y-4503D01* -X7806Y-4494D01* -X7808Y-4482D01* -X7810Y-4467D01* -X7810Y-4462D01* -X7812Y-4459D01* -X7815Y-4455D01* -X7816Y-4454D01* -X7818Y-4450D01* -X7820Y-4445D01* -X7822Y-4437D01* -X7823Y-4429D01* -X7825Y-4418D01* -X7827Y-4410D01* -X7830Y-4404D01* -X7831Y-4403D01* -X7834Y-4396D01* -X7837Y-4389D01* -X7838Y-4387D01* -X7840Y-4380D01* -X7844Y-4373D01* -X7845Y-4372D01* -X7849Y-4366D01* -X7852Y-4360D01* -X7853Y-4359D01* -X7855Y-4353D01* -X7859Y-4347D01* -X7860Y-4346D01* -X7865Y-4339D01* -X7867Y-4333D01* -X7870Y-4324D01* -X7874Y-4319D01* -X7880Y-4311D01* -X7882Y-4305D01* -X7885Y-4296D01* -X7890Y-4290D01* -X7894Y-4285D01* -X7897Y-4281D01* -X7897Y-4280D01* -X7898Y-4277D01* -X7902Y-4273D01* -X7904Y-4272D01* -X7908Y-4268D01* -X7911Y-4265D01* -X7911Y-4264D01* -X7912Y-4262D01* -X7915Y-4257D01* -X7918Y-4254D01* -X7924Y-4247D01* -X7930Y-4240D01* -X7933Y-4235D01* -X7947Y-4219D01* -X7960Y-4204D01* -X7961Y-4203D01* -X7966Y-4198D01* -X7970Y-4192D01* -X7970Y-4191D01* -X7974Y-4187D01* -X7978Y-4185D01* -X7981Y-4182D01* -X7985Y-4178D01* -X7988Y-4174D01* -X7992Y-4171D01* -X7996Y-4169D01* -X8001Y-4165D01* -X8004Y-4162D01* -X8012Y-4155D01* -X8018Y-4149D01* -X8022Y-4145D01* -X8027Y-4142D01* -X8031Y-4139D01* -X8036Y-4135D01* -X8040Y-4132D01* -X8041Y-4131D01* -X8042Y-4129D01* -X8046Y-4126D01* -X8048Y-4126D01* -X8053Y-4123D01* -X8056Y-4119D01* -X8057Y-4119D01* -X8060Y-4116D01* -X8065Y-4112D01* -X8066Y-4111D01* -X8071Y-4108D01* -X8075Y-4104D01* -X8076Y-4103D01* -X8079Y-4101D01* -X8085Y-4098D01* -X8088Y-4096D01* -X8095Y-4094D01* -X8101Y-4090D01* -X8103Y-4089D01* -X8108Y-4085D01* -X8114Y-4082D01* -X8115Y-4082D01* -X8122Y-4079D01* -X8128Y-4075D01* -X8129Y-4075D01* -X8134Y-4071D01* -X8141Y-4068D01* -X8141Y-4068D01* -X8147Y-4065D01* -X8153Y-4061D01* -X8153Y-4061D01* -X8158Y-4057D01* -X8164Y-4054D01* -X8166Y-4054D01* -X8172Y-4051D01* -X8179Y-4048D01* -X8182Y-4046D01* -X8187Y-4043D01* -X8193Y-4041D01* -X8200Y-4039D01* -X8207Y-4038D01* -X8216Y-4037D01* -X8224Y-4035D01* -X8230Y-4032D01* -X8232Y-4031D01* -X8239Y-4027D01* -X8245Y-4025D01* -X8253Y-4024D01* -X8257Y-4024D01* -X8266Y-4022D01* -X8273Y-4021D01* -X8279Y-4018D01* -X8282Y-4016D01* -X8291Y-4010D01* -X8338Y-4008D01* -X8354Y-4008D01* -X8367Y-4007D01* -X8377Y-4007D01* -X8385Y-4006D01* -X8392Y-4005D01* -X8398Y-4003D01* -X8399Y-4003D01* -X8416Y-4000D01* -X8432Y-3999D01* -X8448Y-4002D01* -X8451Y-4003D01* -X8456Y-4004D01* -X8462Y-4006D01* -X8469Y-4006D01* -X8478Y-4007D01* -X8489Y-4008D01* -X8503Y-4008D01* -X8511Y-4008D01* -X8558Y-4010D01* -X8567Y-4016D01* -X8575Y-4020D01* -X8584Y-4023D01* -X8591Y-4024D01* -X8601Y-4025D01* -X8609Y-4027D01* -X8615Y-4030D01* -X8619Y-4033D01* -X8622Y-4035D01* -X8628Y-4037D01* -X8637Y-4038D01* -X8640Y-4038D01* -X8650Y-4040D01* -X8657Y-4041D01* -X8661Y-4043D01* -X8662Y-4044D01* -X8669Y-4049D01* -X8677Y-4052D01* -X8681Y-4053D01* -X8687Y-4055D01* -X8692Y-4058D01* -X8692Y-4059D01* -X8698Y-4064D01* -X8705Y-4068D01* -X8708Y-4068D01* -X8711Y-4069D01* -X8716Y-4072D01* -X8720Y-4075D01* -X8726Y-4079D01* -X8732Y-4082D01* -X8734Y-4083D01* -X8740Y-4085D01* -X8746Y-4089D01* -X8747Y-4090D01* -X8753Y-4094D01* -X8760Y-4097D01* -X8761Y-4097D01* -X8767Y-4099D01* -X8771Y-4102D01* -X8771Y-4103D01* -X8774Y-4105D01* -X8780Y-4109D01* -X8782Y-4111D01* -X8788Y-4115D01* -X8794Y-4120D01* -X8795Y-4121D01* -X8800Y-4125D01* -X8806Y-4130D01* -X8809Y-4133D01* -X8821Y-4144D01* -X8831Y-4152D01* -X8839Y-4160D01* -X8842Y-4163D01* -X8847Y-4167D01* -X8850Y-4170D01* -X8851Y-4170D01* -X8854Y-4172D01* -X8858Y-4175D01* -X8864Y-4180D01* -X8870Y-4187D01* -X8876Y-4193D01* -X8882Y-4199D01* -X8886Y-4204D01* -X8888Y-4207D01* -X8891Y-4212D01* -X8895Y-4214D01* -X8895Y-4214D01* -X8899Y-4217D01* -X8900Y-4220D01* -X8902Y-4223D01* -X8906Y-4228D01* -X8908Y-4231D01* -X8915Y-4238D01* -X8921Y-4245D01* -X8927Y-4252D01* -X8935Y-4261D01* -X8944Y-4272D01* -X8952Y-4283D01* -X8957Y-4289D01* -X8960Y-4296D01* -X8960Y-4296D01* -X8964Y-4303D01* -X8968Y-4308D01* -X8968Y-4308D01* -X8972Y-4314D01* -X8975Y-4321D01* -X8975Y-4321D01* -X8977Y-4328D01* -X8980Y-4333D01* -X8986Y-4339D01* -X8989Y-4346D01* -X8989Y-4349D01* -X8991Y-4353D01* -X8994Y-4358D01* -X8995Y-4360D01* -X9000Y-4366D01* -X9004Y-4374D01* -X9005Y-4376D01* -X9007Y-4383D01* -X9011Y-4388D01* -X9012Y-4390D01* -X9015Y-4393D01* -X9017Y-4397D01* -X9018Y-4402D01* -X9019Y-4410D01* -X9020Y-4412D01* -X9022Y-4419D01* -X9025Y-4427D01* -X9026Y-4428D01* -X9029Y-4434D01* -X9032Y-4442D01* -X9033Y-4451D01* -X9035Y-4461D01* -X9037Y-4469D01* -X9041Y-4475D01* -X9044Y-4481D01* -X9046Y-4487D01* -X9048Y-4495D01* -X9048Y-4502D01* -X9050Y-4512D01* -X9052Y-4524D01* -X9055Y-4536D01* -X9056Y-4542D01* -X9061Y-4563D01* -X9061Y-4640D01* -X9061Y-4715D01* -X9055Y-4741D01* -X9052Y-4751D01* -X9050Y-4761D01* -X9049Y-4769D01* -X9048Y-4774D01* -X9048Y-4775D01* -X9047Y-4788D01* -X9043Y-4798D01* -X9040Y-4803D01* -X9037Y-4807D01* -X9036Y-4812D01* -X9034Y-4819D01* -X9034Y-4824D01* -X9033Y-4834D01* -X9031Y-4841D01* -X9028Y-4846D01* -X9027Y-4849D01* -X9022Y-4859D01* -X9019Y-4871D01* -X9017Y-4880D01* -X9015Y-4886D01* -X9012Y-4890D01* -X9011Y-4891D01* -X9007Y-4897D01* -X9004Y-4903D01* -X9003Y-4904D01* -X9001Y-4911D01* -X8997Y-4916D01* -X8996Y-4917D01* -X8993Y-4922D01* -X8990Y-4929D01* -X8989Y-4930D01* -X8987Y-4937D01* -X8983Y-4942D01* -X8982Y-4943D01* -X8979Y-4948D01* -X8976Y-4954D01* -X8975Y-4955D01* -X8973Y-4962D01* -X8968Y-4969D01* -X8968Y-4969D01* -X8964Y-4975D01* -X8960Y-4982D01* -X8960Y-4983D01* -X8956Y-4991D01* -X8952Y-4995D01* -X8947Y-5000D01* -X8943Y-5005D01* -X8943Y-5006D01* -X8940Y-5010D01* -X8937Y-5012D01* -X8933Y-5015D01* -X8930Y-5019D01* -X8927Y-5024D01* -X8923Y-5029D01* -X8922Y-5029D01* -X8919Y-5033D01* -X8917Y-5036D01* -X8917Y-5036D01* -X8915Y-5039D01* -X8912Y-5043D01* -X8911Y-5044D01* -X8906Y-5048D01* -X8903Y-5053D01* -X8903Y-5053D01* -X8899Y-5058D01* -X8894Y-5066D01* -X8886Y-5074D01* -X8879Y-5082D01* -X8871Y-5090D01* -X8864Y-5097D01* -X8859Y-5101D01* -X8859Y-5101D01* -X8850Y-5107D01* -X8841Y-5115D01* -X8832Y-5123D01* -X8831Y-5123D01* -X8826Y-5127D01* -X8822Y-5130D01* -X8821Y-5131D01* -X8817Y-5133D01* -X8813Y-5138D01* -X8810Y-5142D01* -X8808Y-5143D01* -X8807Y-5143D01* -X8806Y-5144D01* -X8802Y-5146D01* -X8798Y-5150D01* -X8791Y-5156D01* -X8783Y-5164D01* -X8780Y-5167D01* -X8773Y-5172D01* -X8766Y-5175D01* -X8765Y-5175D01* -X8757Y-5178D01* -X8753Y-5182D01* -X8748Y-5186D01* -X8740Y-5189D01* -X8738Y-5190D01* -X8732Y-5192D01* -X8727Y-5195D01* -X8725Y-5197D01* -X8722Y-5199D01* -X8716Y-5202D01* -X8713Y-5204D01* -X8706Y-5207D01* -X8699Y-5211D01* -X8697Y-5213D01* -X8692Y-5216D01* -X8688Y-5218D01* -X8687Y-5218D01* -X8683Y-5219D01* -X8678Y-5222D01* -X8676Y-5224D01* -X8664Y-5231D01* -X8652Y-5234D01* -X8646Y-5234D01* -X8638Y-5235D01* -X8631Y-5239D01* -X8630Y-5240D01* -X8625Y-5243D01* -X8619Y-5245D01* -X8612Y-5247D01* -X8606Y-5248D01* -X8595Y-5249D01* -X8588Y-5251D01* -X8583Y-5254D01* -X8578Y-5257D01* -X8574Y-5259D01* -X8569Y-5261D01* -X8564Y-5262D01* -X8556Y-5262D01* -X8546Y-5263D01* -X8540Y-5264D01* -X8523Y-5265D01* -X8508Y-5267D01* -X8496Y-5269D01* -X8474Y-5274D01* -X8450Y-5276D01* -X8423Y-5277D01* -X8395Y-5276D01* -X8391Y-5275D01* -X8380Y-5274D01* -X8369Y-5273D01* -X8359Y-5271D01* -X8353Y-5269D01* -X8343Y-5267D01* -X8330Y-5265D01* -X8314Y-5264D01* -X8310Y-5264D01* -X8298Y-5263D01* -X8289Y-5262D01* -X8283Y-5261D01* -X8278Y-5260D01* -X8274Y-5258D01* -X8270Y-5256D01* -X8268Y-5254D01* -X8263Y-5251D01* -X8256Y-5249D01* -X8246Y-5248D01* -X8245Y-5248D01* -X8236Y-5246D01* -X8228Y-5244D01* -X8224Y-5242D01* -X8218Y-5238D01* -X8213Y-5236D01* -X8206Y-5234D01* -X8198Y-5233D01* -X8198Y-5233D01* -X8190Y-5232D01* -X8184Y-5230D01* -X8180Y-5228D01* -X8178Y-5226D01* -X8172Y-5222D01* -X8165Y-5219D01* -X8163Y-5218D01* -X8155Y-5215D01* -X8150Y-5211D01* -X8145Y-5207D01* -X8138Y-5204D01* -X8131Y-5202D01* -X8124Y-5197D01* -X8121Y-5195D01* -X8116Y-5192D01* -X8111Y-5189D01* -X8109Y-5189D01* -X8104Y-5187D01* -X8099Y-5184D01* -X8097Y-5183D01* -X8091Y-5179D01* -X8085Y-5175D01* -X8084Y-5175D01* -X8078Y-5172D01* -X8072Y-5168D01* -X8070Y-5166D01* -X8064Y-5162D01* -X8057Y-5156D01* -X8052Y-5152D01* -X8040Y-5142D01* -X8026Y-5131D01* -X8015Y-5121D01* -X8009Y-5116D01* -X8002Y-5110D01* -X7999Y-5108D01* -X7990Y-5100D01* -X7982Y-5093D01* -X7977Y-5088D01* -X7973Y-5084D01* -X7969Y-5081D01* -X7966Y-5078D01* -X7963Y-5074D01* -X7962Y-5072D01* -X7953Y-5063D01* -X7947Y-5055D01* -X7942Y-5049D01* -X7936Y-5043D01* -X7934Y-5041D01* -X7925Y-5031D01* -X7919Y-5024D01* -X7914Y-5018D01* -X7910Y-5013D01* -X7906Y-5008D01* -X7904Y-5006D01* -X7899Y-4999D01* -X7893Y-4992D01* -X7890Y-4988D01* -X7886Y-4983D01* -X7883Y-4977D01* -X7882Y-4975D01* -X7880Y-4969D01* -X7877Y-4963D01* -X7874Y-4960D01* -X7870Y-4955D01* -X7868Y-4950D01* -X7868Y-4948D01* -X7866Y-4944D01* -X7863Y-4938D01* -X7861Y-4935D01* -X7856Y-4928D01* -X7853Y-4920D01* -X7853Y-4919D01* -X7851Y-4912D01* -X7847Y-4908D01* -X7842Y-4902D01* -X7839Y-4895D01* -X7837Y-4889D01* -X7835Y-4883D01* -X7831Y-4876D01* -X7831Y-4876D01* -X7828Y-4872D01* -X7826Y-4867D01* -X7825Y-4860D01* -X7823Y-4851D01* -X7822Y-4842D01* -X7820Y-4835D01* -X7818Y-4830D01* -X7818Y-4829D01* -X7814Y-4824D01* -X7812Y-4818D01* -X7810Y-4810D01* -X7808Y-4801D01* -X7807Y-4791D01* -X7805Y-4783D01* -X7801Y-4777D01* -X7801Y-4777D01* -X7800Y-4775D01* -X7799Y-4773D01* -X7798Y-4771D01* -X7797Y-4768D01* -X7796Y-4764D01* -X7796Y-4760D01* -X7795Y-4753D01* -X7795Y-4744D01* -X7794Y-4732D01* -X7794Y-4718D01* -X7793Y-4701D01* -X7792Y-4695D01* -X7792Y-4680D01* -X7791Y-4668D01* -X7791Y-4659D01* -X7791Y-4650D01* -X7791Y-4642D01* -X7791Y-5068D01* -X7792Y-5070D01* -X7796Y-5074D01* -X7797Y-5075D01* -X7800Y-5078D01* -X7803Y-5083D01* -X7805Y-5086D01* -X7807Y-5091D01* -X7809Y-5094D01* -X7810Y-5094D01* -X7812Y-5096D01* -X7815Y-5099D01* -X7816Y-5100D01* -X7821Y-5108D01* -X7830Y-5118D01* -X7841Y-5131D01* -X7854Y-5146D01* -X7857Y-5149D01* -X7867Y-5159D01* -X7874Y-5166D01* -X7879Y-5172D01* -X7883Y-5176D01* -X7887Y-5180D01* -X7891Y-5183D01* -X7896Y-5187D01* -X7898Y-5189D01* -X7905Y-5194D01* -X7912Y-5201D01* -X7917Y-5205D01* -X7922Y-5209D01* -X7927Y-5212D01* -X7929Y-5213D01* -X7932Y-5216D01* -X7935Y-5220D01* -X7936Y-5222D01* -X7940Y-5228D01* -X7944Y-5232D01* -X7950Y-5234D01* -X7952Y-5235D01* -X7957Y-5237D01* -X7964Y-5242D01* -X7967Y-5245D01* -X7973Y-5250D01* -X7981Y-5257D01* -X7986Y-5261D01* -X7993Y-5267D01* -X7999Y-5272D01* -X8003Y-5275D01* -X8009Y-5279D01* -X8016Y-5283D01* -X8017Y-5283D01* -X8023Y-5286D01* -X8029Y-5290D01* -X8030Y-5291D01* -X8034Y-5295D01* -X8037Y-5297D01* -X8038Y-5297D01* -X8041Y-5298D01* -X8046Y-5301D01* -X8050Y-5303D01* -X8057Y-5308D01* -X8063Y-5311D01* -X8066Y-5313D01* -X8072Y-5316D01* -X8079Y-5319D01* -X8082Y-5321D01* -X8088Y-5324D01* -X8092Y-5326D01* -X8094Y-5327D01* -X8097Y-5327D01* -X8102Y-5330D01* -X8108Y-5333D01* -X8108Y-5333D01* -X8116Y-5337D01* -X8125Y-5341D01* -X8131Y-5343D01* -X8138Y-5345D01* -X8144Y-5348D01* -X8147Y-5350D01* -X8151Y-5352D01* -X8158Y-5355D01* -X8166Y-5357D01* -X8166Y-5357D01* -X8175Y-5359D01* -X8183Y-5362D01* -X8189Y-5365D01* -X8196Y-5368D01* -X8206Y-5370D01* -X8214Y-5372D01* -X8223Y-5374D01* -X8231Y-5376D01* -X8237Y-5378D01* -X8238Y-5378D01* -X8244Y-5381D01* -X8251Y-5383D01* -X8260Y-5384D01* -X8271Y-5386D01* -X8285Y-5387D01* -X8296Y-5389D01* -X8307Y-5390D01* -X8316Y-5392D01* -X8321Y-5394D01* -X8331Y-5396D01* -X8344Y-5398D01* -X8358Y-5399D01* -X8361Y-5399D01* -X8371Y-5400D01* -X8380Y-5401D01* -X8387Y-5401D01* -X8391Y-5402D01* -X8391Y-5402D01* -X8396Y-5402D01* -X8403Y-5402D01* -X8409Y-5402D01* -X8432Y-5401D01* -X8452Y-5399D01* -X8468Y-5398D01* -X8482Y-5397D01* -X8494Y-5396D01* -X8504Y-5394D01* -X8508Y-5393D01* -X8518Y-5392D01* -X8531Y-5390D01* -X8545Y-5389D01* -X8558Y-5388D01* -X8560Y-5388D01* -X8574Y-5387D01* -X8585Y-5386D01* -X8593Y-5385D01* -X8600Y-5383D01* -X8606Y-5381D01* -X8612Y-5379D01* -X8614Y-5378D01* -X8620Y-5376D01* -X8628Y-5374D01* -X8637Y-5372D01* -X8640Y-5372D01* -X8652Y-5369D01* -X8661Y-5366D01* -X8664Y-5364D01* -X8669Y-5362D01* -X8677Y-5359D01* -X8685Y-5357D01* -X8686Y-5357D01* -X8694Y-5354D01* -X8702Y-5351D01* -X8708Y-5349D01* -X8708Y-5348D01* -X8714Y-5345D01* -X8722Y-5342D01* -X8724Y-5341D01* -X8731Y-5339D01* -X8737Y-5336D01* -X8739Y-5335D01* -X8744Y-5332D01* -X8750Y-5330D01* -X8757Y-5329D01* -X8765Y-5324D01* -X8772Y-5319D01* -X8779Y-5315D01* -X8783Y-5314D01* -X8790Y-5311D01* -X8796Y-5306D01* -X8798Y-5305D01* -X8803Y-5300D01* -X8809Y-5297D01* -X8810Y-5297D01* -X8816Y-5294D01* -X8822Y-5290D01* -X8822Y-5290D01* -X8828Y-5286D01* -X8834Y-5283D01* -X8834Y-5283D01* -X8840Y-5279D01* -X8846Y-5274D01* -X8847Y-5273D01* -X8854Y-5267D01* -X8861Y-5265D01* -X8868Y-5263D01* -X8872Y-5262D01* -X8874Y-5260D01* -X8875Y-5258D01* -X8877Y-5250D01* -X8880Y-5246D01* -X8882Y-5243D01* -X8884Y-5243D01* -X8888Y-5241D01* -X8893Y-5237D01* -X8895Y-5234D01* -X8901Y-5229D01* -X8908Y-5223D01* -X8914Y-5218D01* -X8921Y-5212D01* -X8928Y-5206D01* -X8933Y-5201D01* -X8939Y-5196D01* -X8945Y-5192D01* -X8949Y-5191D01* -X8954Y-5188D01* -X8960Y-5183D01* -X8967Y-5176D01* -X8972Y-5169D01* -X8977Y-5162D01* -X8978Y-5160D01* -X8981Y-5155D01* -X8985Y-5149D01* -X8987Y-5147D01* -X8991Y-5143D01* -X8997Y-5136D01* -X9004Y-5128D01* -X9010Y-5121D01* -X9018Y-5112D01* -X9025Y-5103D01* -X9033Y-5095D01* -X9037Y-5090D01* -X9043Y-5083D01* -X9048Y-5077D01* -X9051Y-5072D01* -X9052Y-5071D01* -X9055Y-5066D01* -X9059Y-5061D01* -X9063Y-5057D01* -X9067Y-5052D01* -X9069Y-5048D01* -X9072Y-5042D01* -X9075Y-5038D01* -X9076Y-5036D01* -X9078Y-5034D01* -X9081Y-5029D01* -X9082Y-5026D01* -X9086Y-5019D01* -X9090Y-5012D01* -X9092Y-5010D01* -X9096Y-5004D01* -X9099Y-4998D01* -X9100Y-4996D01* -X9103Y-4990D01* -X9107Y-4983D01* -X9107Y-4983D01* -X9110Y-4977D01* -X9113Y-4970D01* -X9115Y-4966D01* -X9119Y-4957D01* -X9125Y-4948D01* -X9130Y-4943D01* -X9132Y-4939D01* -X9132Y-4935D01* -X9132Y-4933D01* -X9132Y-4926D01* -X9135Y-4921D01* -X9137Y-4916D01* -X9140Y-4909D01* -X9142Y-4901D01* -X9142Y-4901D01* -X9144Y-4893D01* -X9147Y-4885D01* -X9150Y-4880D01* -X9153Y-4873D01* -X9156Y-4864D01* -X9158Y-4855D01* -X9160Y-4845D01* -X9162Y-4835D01* -X9165Y-4827D01* -X9165Y-4826D01* -X9168Y-4818D01* -X9170Y-4810D01* -X9171Y-4799D01* -X9173Y-4787D01* -X9174Y-4775D01* -X9175Y-4760D01* -X9177Y-4745D01* -X9179Y-4732D01* -X9180Y-4731D01* -X9181Y-4721D01* -X9182Y-4709D01* -X9183Y-4696D01* -X9185Y-4681D01* -X9186Y-4665D01* -X9186Y-4650D01* -X9187Y-4635D01* -X9187Y-4622D01* -X9187Y-4612D01* -X9187Y-4603D01* -X9186Y-4598D01* -X9186Y-4598D01* -X9185Y-4593D01* -X9184Y-4585D01* -X9184Y-4583D01* -X9184Y-4578D01* -X9183Y-4570D01* -X9182Y-4560D01* -X9180Y-4549D01* -X9179Y-4546D01* -X9178Y-4533D01* -X9176Y-4521D01* -X9174Y-4508D01* -X9174Y-4499D01* -X9173Y-4498D01* -X9172Y-4481D01* -X9170Y-4467D01* -X9167Y-4457D01* -X9164Y-4449D01* -X9162Y-4443D01* -X9160Y-4435D01* -X9159Y-4429D01* -X9157Y-4417D01* -X9155Y-4408D01* -X9152Y-4401D01* -X9149Y-4396D01* -X9146Y-4389D01* -X9145Y-4383D01* -X9145Y-4382D01* -X9144Y-4376D01* -X9141Y-4367D01* -X9137Y-4359D01* -X9133Y-4350D01* -X9129Y-4342D01* -X9127Y-4336D01* -X9126Y-4334D01* -X9123Y-4327D01* -X9120Y-4320D01* -X9119Y-4319D01* -X9115Y-4313D01* -X9112Y-4306D01* -X9112Y-4305D01* -X9109Y-4298D01* -X9106Y-4291D01* -X9105Y-4290D01* -X9101Y-4284D01* -X9098Y-4277D01* -X9097Y-4276D01* -X9095Y-4270D01* -X9091Y-4264D01* -X9090Y-4263D01* -X9086Y-4258D01* -X9084Y-4255D01* -X9084Y-4254D01* -X9083Y-4251D01* -X9080Y-4246D01* -X9079Y-4244D01* -X9075Y-4238D01* -X9071Y-4232D01* -X9071Y-4230D01* -X9069Y-4227D01* -X9065Y-4222D01* -X9061Y-4216D01* -X9054Y-4208D01* -X9045Y-4197D01* -X9044Y-4196D01* -X9039Y-4190D01* -X9036Y-4186D01* -X9035Y-4183D01* -X9035Y-4179D01* -X9035Y-4178D01* -X9035Y-4171D01* -X9033Y-4168D01* -X9028Y-4166D01* -X9026Y-4166D01* -X9020Y-4165D01* -X9016Y-4161D01* -X9012Y-4157D01* -X9008Y-4151D01* -X9004Y-4147D01* -X8999Y-4140D01* -X8992Y-4132D01* -X8987Y-4126D01* -X8982Y-4119D01* -X8977Y-4113D01* -X8973Y-4108D01* -X8968Y-4103D01* -X8964Y-4098D01* -X8963Y-4097D01* -X8960Y-4093D01* -X8958Y-4091D01* -X8958Y-4091D01* -X8955Y-4090D01* -X8951Y-4087D01* -X8946Y-4084D01* -X8941Y-4079D01* -X8934Y-4073D01* -X8926Y-4066D01* -X8919Y-4060D01* -X8909Y-4052D01* -X8902Y-4046D01* -X8897Y-4042D01* -X8894Y-4039D01* -X8892Y-4036D01* -X8890Y-4033D01* -X8889Y-4031D01* -X8885Y-4026D01* -X8878Y-4022D01* -X8876Y-4022D01* -X8869Y-4018D01* -X8861Y-4013D01* -X8860Y-4011D01* -X8854Y-4006D01* -X8848Y-4001D01* -X8846Y-4000D01* -X8840Y-3996D01* -X8835Y-3990D01* -X8834Y-3988D01* -X8830Y-3983D01* -X8827Y-3981D01* -X8824Y-3980D01* -X8821Y-3981D01* -X8816Y-3981D01* -X8811Y-3978D01* -X8808Y-3977D01* -X8803Y-3973D01* -X8800Y-3972D01* -X8799Y-3971D01* -X8797Y-3970D01* -X8793Y-3967D01* -X8793Y-3967D01* -X8788Y-3963D01* -X8782Y-3960D01* -X8777Y-3959D01* -X8770Y-3956D01* -X8765Y-3953D01* -X8758Y-3949D01* -X8752Y-3946D01* -X8748Y-3945D01* -X8743Y-3943D01* -X8736Y-3940D01* -X8733Y-3938D01* -X8726Y-3934D01* -X8720Y-3931D01* -X8713Y-3929D01* -X8708Y-3928D01* -X8701Y-3926D01* -X8694Y-3923D01* -X8693Y-3922D01* -X8688Y-3920D01* -X8681Y-3917D01* -X8673Y-3915D01* -X8672Y-3914D01* -X8663Y-3912D01* -X8655Y-3909D01* -X8649Y-3907D01* -X8648Y-3906D01* -X8643Y-3904D01* -X8639Y-3903D01* -X8638Y-3902D01* -X8635Y-3901D01* -X8631Y-3898D01* -X8630Y-3898D01* -X8626Y-3895D01* -X8623Y-3894D01* -X8620Y-3896D01* -X8614Y-3898D01* -X8605Y-3898D01* -X8593Y-3894D01* -X8592Y-3894D01* -X8579Y-3890D01* -X8564Y-3887D01* -X8562Y-3887D01* -X8553Y-3886D01* -X8546Y-3885D01* -X8541Y-3884D01* -X8540Y-3883D01* -X8537Y-3882D01* -X8532Y-3883D01* -X8531Y-3883D01* -X8526Y-3884D01* -X8518Y-3884D01* -X8507Y-3884D01* -X8495Y-3884D01* -X8483Y-3883D01* -X8470Y-3882D01* -X8458Y-3881D01* -X8453Y-3880D01* -X8440Y-3878D01* -X8429Y-3877D01* -X8419Y-3877D01* -X8407Y-3879D01* -X8402Y-3880D01* -X8395Y-3881D01* -X8386Y-3882D01* -X8374Y-3883D01* -X8360Y-3883D01* -X8345Y-3884D01* -X8336Y-3885D01* -X8320Y-3885D01* -X8306Y-3886D01* -X8296Y-3887D01* -X8288Y-3887D01* -X8282Y-3888D01* -X8277Y-3889D01* -X8272Y-3890D01* -X8267Y-3891D01* -X8261Y-3893D01* -X8260Y-3894D01* -X8251Y-3896D01* -X8241Y-3898D01* -X8230Y-3900D01* -X8229Y-3900D01* -X8220Y-3902D01* -X8211Y-3904D01* -X8205Y-3906D01* -X8204Y-3907D01* -X8198Y-3909D01* -X8190Y-3912D01* -X8181Y-3914D01* -X8181Y-3914D01* -X8172Y-3917D01* -X8163Y-3920D01* -X8156Y-3923D01* -X8148Y-3926D01* -X8139Y-3929D01* -X8135Y-3930D01* -X8127Y-3933D01* -X8120Y-3936D01* -X8118Y-3938D01* -X8111Y-3942D01* -X8105Y-3945D01* -X8103Y-3945D01* -X8096Y-3947D01* -X8090Y-3951D01* -X8090Y-3951D01* -X8085Y-3954D01* -X8080Y-3955D01* -X8078Y-3955D01* -X8075Y-3954D01* -X8071Y-3955D01* -X8067Y-3958D01* -X8062Y-3963D01* -X8062Y-3963D01* -X8055Y-3968D01* -X8050Y-3972D01* -X8045Y-3974D01* -X8045Y-3974D01* -X8040Y-3977D01* -X8035Y-3980D01* -X8030Y-3984D01* -X8024Y-3988D01* -X8021Y-3989D01* -X8010Y-3995D01* -X8001Y-4001D01* -X7994Y-4006D01* -X7992Y-4008D01* -X7986Y-4013D01* -X7979Y-4017D01* -X7978Y-4018D01* -X7972Y-4022D01* -X7967Y-4026D01* -X7967Y-4027D01* -X7962Y-4030D01* -X7957Y-4034D01* -X7956Y-4034D01* -X7951Y-4037D01* -X7945Y-4042D01* -X7938Y-4048D01* -X7937Y-4049D01* -X7931Y-4055D01* -X7922Y-4063D01* -X7914Y-4071D01* -X7910Y-4074D01* -X7897Y-4087D01* -X7885Y-4098D01* -X7873Y-4110D01* -X7860Y-4124D01* -X7860Y-4125D01* -X7853Y-4132D01* -X7846Y-4139D01* -X7841Y-4145D01* -X7839Y-4147D01* -X7829Y-4158D01* -X7820Y-4171D01* -X7819Y-4173D01* -X7816Y-4178D01* -X7811Y-4184D01* -X7809Y-4186D01* -X7805Y-4191D01* -X7801Y-4197D01* -X7800Y-4198D01* -X7797Y-4203D01* -X7791Y-4208D01* -X7790Y-4209D01* -X7784Y-4214D01* -X7782Y-4218D01* -X7782Y-4220D01* -X7781Y-4226D01* -X7777Y-4233D01* -X7773Y-4238D01* -X7768Y-4246D01* -X7763Y-4254D01* -X7760Y-4261D01* -X7757Y-4268D01* -X7753Y-4274D01* -X7751Y-4277D01* -X7747Y-4282D01* -X7745Y-4289D01* -X7745Y-4290D01* -X7742Y-4296D01* -X7739Y-4303D01* -X7738Y-4304D01* -X7734Y-4310D01* -X7731Y-4317D01* -X7730Y-4319D01* -X7728Y-4327D01* -X7724Y-4334D01* -X7723Y-4336D01* -X7720Y-4342D01* -X7717Y-4350D01* -X7715Y-4358D01* -X7712Y-4366D01* -X7709Y-4373D01* -X7707Y-4379D01* -X7704Y-4384D01* -X7702Y-4392D01* -X7699Y-4400D01* -X7699Y-4401D01* -X7697Y-4410D01* -X7694Y-4419D01* -X7692Y-4425D01* -X7692Y-4425D01* -X7690Y-4431D01* -X7688Y-4439D01* -X7686Y-4449D01* -X7685Y-4454D01* -X7684Y-4464D01* -X7682Y-4473D01* -X7680Y-4481D01* -X7680Y-4484D01* -X7678Y-4491D01* -X7676Y-4499D01* -X7675Y-4509D01* -X7674Y-4520D01* -X7673Y-4534D01* -X7672Y-4551D01* -X7670Y-4570D01* -X7670Y-4583D01* -X7669Y-4603D01* -X7668Y-4621D01* -X7668Y-4637D01* -X7668Y-4651D01* -X7668Y-4651D01* -X7668Y-4651D01* -G37* -G36* -X5776Y-4415D02* -X5776Y-4424D01* -X5776Y-4427D01* -X5777Y-4432D01* -X5778Y-4440D01* -X5779Y-4451D01* -X5779Y-4463D01* -X5780Y-4476D01* -X5780Y-4480D01* -X5781Y-4496D01* -X5782Y-4509D01* -X5782Y-4519D01* -X5783Y-4526D01* -X5784Y-4532D01* -X5785Y-4536D01* -X5786Y-4540D01* -X5788Y-4543D01* -X5788Y-4544D01* -X5790Y-4548D01* -X5793Y-4556D01* -X5795Y-4564D01* -X5796Y-4569D01* -X5798Y-4578D01* -X5801Y-4587D01* -X5803Y-4594D01* -X5804Y-4596D01* -X5806Y-4602D01* -X5809Y-4610D01* -X5810Y-4617D01* -X5812Y-4624D01* -X5814Y-4631D01* -X5816Y-4636D01* -X5819Y-4641D01* -X5822Y-4647D01* -X5825Y-4653D01* -X5828Y-4660D01* -X5831Y-4665D01* -X5835Y-4672D01* -X5839Y-4679D01* -X5845Y-4689D01* -X5846Y-4692D01* -X5850Y-4699D01* -X5853Y-4706D01* -X5855Y-4709D01* -X5858Y-4715D01* -X5862Y-4720D01* -X5862Y-4404D01* -X5863Y-4380D01* -X5865Y-4358D01* -X5868Y-4338D01* -X5869Y-4334D01* -X5872Y-4323D01* -X5874Y-4313D01* -X5875Y-4304D01* -X5875Y-4302D01* -X5877Y-4292D01* -X5878Y-4285D01* -X5881Y-4279D01* -X5884Y-4274D01* -X5887Y-4269D01* -X5889Y-4259D01* -X5890Y-4253D01* -X5891Y-4244D01* -X5893Y-4238D01* -X5895Y-4234D01* -X5897Y-4231D01* -X5901Y-4226D01* -X5904Y-4219D01* -X5904Y-4217D01* -X5907Y-4210D01* -X5911Y-4204D01* -X5912Y-4203D01* -X5916Y-4197D01* -X5919Y-4190D01* -X5920Y-4188D01* -X5923Y-4179D01* -X5928Y-4174D01* -X5931Y-4170D01* -X5934Y-4166D01* -X5934Y-4165D01* -X5935Y-4160D01* -X5939Y-4153D01* -X5944Y-4145D01* -X5952Y-4136D01* -X5960Y-4126D01* -X5969Y-4116D01* -X5972Y-4113D01* -X5976Y-4109D01* -X5978Y-4105D01* -X5979Y-4104D01* -X5980Y-4101D01* -X5984Y-4098D01* -X5986Y-4096D01* -X5990Y-4093D01* -X5992Y-4090D01* -X5993Y-4089D01* -X5994Y-4087D01* -X5998Y-4083D01* -X6002Y-4078D01* -X6007Y-4073D01* -X6011Y-4070D01* -X6014Y-4068D01* -X6014Y-4068D01* -X6016Y-4066D01* -X6020Y-4063D01* -X6021Y-4061D01* -X6025Y-4057D01* -X6028Y-4054D01* -X6029Y-4054D01* -X6031Y-4053D01* -X6036Y-4050D01* -X6038Y-4047D01* -X6049Y-4037D01* -X6058Y-4029D01* -X6064Y-4024D01* -X6070Y-4020D01* -X6075Y-4016D01* -X6075Y-4015D01* -X6081Y-4012D01* -X6087Y-4009D01* -X6089Y-4009D01* -X6095Y-4006D01* -X6100Y-4002D01* -X6100Y-4002D01* -X6106Y-3997D01* -X6113Y-3995D01* -X6120Y-3992D01* -X6127Y-3988D01* -X6128Y-3987D01* -X6133Y-3983D01* -X6140Y-3980D01* -X6142Y-3979D01* -X6149Y-3977D01* -X6155Y-3973D01* -X6156Y-3972D01* -X6160Y-3969D01* -X6164Y-3968D01* -X6170Y-3966D01* -X6179Y-3965D01* -X6189Y-3964D01* -X6195Y-3962D01* -X6201Y-3960D01* -X6204Y-3957D01* -X6208Y-3955D01* -X6213Y-3953D01* -X6218Y-3952D01* -X6226Y-3951D01* -X6234Y-3950D01* -X6245Y-3949D01* -X6255Y-3947D01* -X6265Y-3945D01* -X6269Y-3944D01* -X6283Y-3941D01* -X6300Y-3938D01* -X6318Y-3937D01* -X6338Y-3936D01* -X6356Y-3937D01* -X6364Y-3937D01* -X6376Y-3939D01* -X6387Y-3940D01* -X6397Y-3942D01* -X6405Y-3944D01* -X6405Y-3944D01* -X6413Y-3946D01* -X6423Y-3948D01* -X6435Y-3949D01* -X6440Y-3950D01* -X6450Y-3951D01* -X6458Y-3952D01* -X6463Y-3953D01* -X6467Y-3956D01* -X6471Y-3958D01* -X6476Y-3961D01* -X6480Y-3963D01* -X6482Y-3964D01* -X6493Y-3964D01* -X6502Y-3966D01* -X6511Y-3969D01* -X6517Y-3972D01* -X6523Y-3976D01* -X6530Y-3979D01* -X6531Y-3979D01* -X6537Y-3982D01* -X6543Y-3986D01* -X6543Y-3986D01* -X6548Y-3990D01* -X6555Y-3993D01* -X6558Y-3994D01* -X6566Y-3997D01* -X6571Y-4001D01* -X6571Y-4002D01* -X6576Y-4006D01* -X6583Y-4008D01* -X6590Y-4011D01* -X6598Y-4016D01* -X6598Y-4017D01* -X6603Y-4021D01* -X6608Y-4024D01* -X6609Y-4025D01* -X6613Y-4028D01* -X6615Y-4031D01* -X6617Y-4034D01* -X6622Y-4037D01* -X6623Y-4038D01* -X6628Y-4041D01* -X6631Y-4045D01* -X6631Y-4045D01* -X6635Y-4049D01* -X6640Y-4052D01* -X6641Y-4053D01* -X6645Y-4056D01* -X6648Y-4058D01* -X6648Y-4059D01* -X6651Y-4061D01* -X6655Y-4065D01* -X6658Y-4067D01* -X6664Y-4072D01* -X6672Y-4079D01* -X6680Y-4089D01* -X6687Y-4098D01* -X6689Y-4101D01* -X6693Y-4105D01* -X6697Y-4110D01* -X6698Y-4111D01* -X6702Y-4115D01* -X6704Y-4119D01* -X6704Y-4120D01* -X6706Y-4122D01* -X6709Y-4126D01* -X6711Y-4128D01* -X6715Y-4131D01* -X6718Y-4135D01* -X6718Y-4136D01* -X6720Y-4138D01* -X6723Y-4142D01* -X6724Y-4143D01* -X6729Y-4148D01* -X6733Y-4154D01* -X6733Y-4154D01* -X6737Y-4161D01* -X6741Y-4167D01* -X6741Y-4167D01* -X6745Y-4173D01* -X6747Y-4179D01* -X6747Y-4180D01* -X6750Y-4186D01* -X6754Y-4191D01* -X6755Y-4192D01* -X6758Y-4197D01* -X6761Y-4203D01* -X6762Y-4205D01* -X6765Y-4212D01* -X6769Y-4218D01* -X6769Y-4219D01* -X6773Y-4223D01* -X6775Y-4228D01* -X6777Y-4236D01* -X6777Y-4237D01* -X6780Y-4247D01* -X6783Y-4255D01* -X6784Y-4256D01* -X6787Y-4261D01* -X6789Y-4268D01* -X6791Y-4277D01* -X6792Y-4280D01* -X6793Y-4290D01* -X6795Y-4296D01* -X6797Y-4302D01* -X6800Y-4305D01* -X6805Y-4312D01* -X6807Y-4357D01* -X6808Y-4370D01* -X6808Y-4384D01* -X6809Y-4395D01* -X6809Y-4405D01* -X6809Y-4412D01* -X6809Y-4413D01* -X6809Y-4422D01* -X6809Y-4432D01* -X6808Y-4444D01* -X6808Y-4457D01* -X6807Y-4470D01* -X6806Y-4483D01* -X6806Y-4494D01* -X6805Y-4504D01* -X6804Y-4511D01* -X6804Y-4515D01* -X6804Y-4516D01* -X6801Y-4520D01* -X6798Y-4524D01* -X6796Y-4528D01* -X6793Y-4535D01* -X6792Y-4546D01* -X6792Y-4546D01* -X6790Y-4556D01* -X6788Y-4563D01* -X6786Y-4568D01* -X6784Y-4570D01* -X6779Y-4579D01* -X6778Y-4588D01* -X6776Y-4596D01* -X6771Y-4604D01* -X6771Y-4604D01* -X6767Y-4610D01* -X6763Y-4618D01* -X6762Y-4621D01* -X6759Y-4628D01* -X6755Y-4633D01* -X6755Y-4633D01* -X6750Y-4638D01* -X6749Y-4645D01* -X6745Y-4654D01* -X6741Y-4659D01* -X6737Y-4665D01* -X6733Y-4672D01* -X6732Y-4672D01* -X6730Y-4677D01* -X6728Y-4680D01* -X6728Y-4680D01* -X6726Y-4681D01* -X6723Y-4685D01* -X6720Y-4689D01* -X6715Y-4695D01* -X6710Y-4702D01* -X6708Y-4704D01* -X6703Y-4709D01* -X6698Y-4715D01* -X6695Y-4717D01* -X6687Y-4727D01* -X6680Y-4735D01* -X6675Y-4741D01* -X6670Y-4745D01* -X6667Y-4749D01* -X6663Y-4752D01* -X6659Y-4756D01* -X6654Y-4760D01* -X6647Y-4766D01* -X6643Y-4770D01* -X6636Y-4776D01* -X6628Y-4783D01* -X6624Y-4786D01* -X6617Y-4792D01* -X6610Y-4797D01* -X6606Y-4800D01* -X6600Y-4805D01* -X6594Y-4809D01* -X6592Y-4809D01* -X6587Y-4812D01* -X6583Y-4816D01* -X6582Y-4816D01* -X6579Y-4820D01* -X6572Y-4822D01* -X6571Y-4823D01* -X6562Y-4826D01* -X6556Y-4830D01* -X6549Y-4835D01* -X6541Y-4838D01* -X6533Y-4841D01* -X6528Y-4845D01* -X6526Y-4848D01* -X6524Y-4849D01* -X6519Y-4851D01* -X6512Y-4852D01* -X6506Y-4853D01* -X6500Y-4855D01* -X6494Y-4859D01* -X6493Y-4859D01* -X6488Y-4862D01* -X6483Y-4864D01* -X6475Y-4866D01* -X6470Y-4866D01* -X6461Y-4868D01* -X6453Y-4870D01* -X6447Y-4873D01* -X6445Y-4874D01* -X6435Y-4881D01* -X6393Y-4882D01* -X6377Y-4882D01* -X6360Y-4882D01* -X6341Y-4882D01* -X6322Y-4882D01* -X6303Y-4882D01* -X6286Y-4882D01* -X6271Y-4881D01* -X6258Y-4881D01* -X6251Y-4880D01* -X6243Y-4880D01* -X6237Y-4878D01* -X6232Y-4876D01* -X6231Y-4874D01* -X6227Y-4872D01* -X6221Y-4870D01* -X6214Y-4868D01* -X6207Y-4867D01* -X6197Y-4865D01* -X6189Y-4863D01* -X6183Y-4860D01* -X6182Y-4859D01* -X6174Y-4855D01* -X6164Y-4853D01* -X6162Y-4852D01* -X6154Y-4851D01* -X6148Y-4848D01* -X6143Y-4844D01* -X6137Y-4840D01* -X6130Y-4837D01* -X6122Y-4834D01* -X6117Y-4830D01* -X6112Y-4826D01* -X6105Y-4823D01* -X6104Y-4822D01* -X6097Y-4820D01* -X6090Y-4815D01* -X6089Y-4815D01* -X6084Y-4811D01* -X6078Y-4808D01* -X6077Y-4808D01* -X6073Y-4806D01* -X6068Y-4801D01* -X6067Y-4800D01* -X6063Y-4796D01* -X6060Y-4793D01* -X6059Y-4793D01* -X6056Y-4792D01* -X6052Y-4788D01* -X6051Y-4787D01* -X6046Y-4782D01* -X6040Y-4779D01* -X6040Y-4779D01* -X6036Y-4776D01* -X6034Y-4774D01* -X6034Y-4773D01* -X6033Y-4771D01* -X6029Y-4768D01* -X6026Y-4766D01* -X6019Y-4762D01* -X6013Y-4757D01* -X6011Y-4755D01* -X6006Y-4750D01* -X6000Y-4745D01* -X6000Y-4744D01* -X5992Y-4736D01* -X5982Y-4725D01* -X5971Y-4711D01* -X5965Y-4705D01* -X5959Y-4698D01* -X5955Y-4693D01* -X5951Y-4689D01* -X5948Y-4685D01* -X5947Y-4684D01* -X5946Y-4681D01* -X5943Y-4677D01* -X5941Y-4675D01* -X5936Y-4670D01* -X5934Y-4666D01* -X5934Y-4665D01* -X5932Y-4661D01* -X5929Y-4656D01* -X5927Y-4652D01* -X5923Y-4647D01* -X5920Y-4642D01* -X5920Y-4639D01* -X5919Y-4635D01* -X5915Y-4630D01* -X5914Y-4628D01* -X5909Y-4621D01* -X5906Y-4614D01* -X5905Y-4612D01* -X5902Y-4605D01* -X5898Y-4599D01* -X5897Y-4597D01* -X5894Y-4594D01* -X5892Y-4589D01* -X5891Y-4583D01* -X5890Y-4576D01* -X5889Y-4566D01* -X5887Y-4559D01* -X5884Y-4554D01* -X5883Y-4551D01* -X5879Y-4545D01* -X5877Y-4538D01* -X5876Y-4530D01* -X5876Y-4527D01* -X5874Y-4518D01* -X5872Y-4507D01* -X5870Y-4496D01* -X5869Y-4493D01* -X5866Y-4474D01* -X5863Y-4452D01* -X5862Y-4428D01* -X5862Y-4404D01* -X5862Y-4720D01* -X5862Y-4721D01* -X5863Y-4721D01* -X5868Y-4727D01* -X5872Y-4734D01* -X5873Y-4735D01* -X5876Y-4741D01* -X5881Y-4748D01* -X5883Y-4750D01* -X5888Y-4754D01* -X5891Y-4758D01* -X5892Y-4759D01* -X5894Y-4760D01* -X5896Y-4763D01* -X5899Y-4767D01* -X5904Y-4772D01* -X5911Y-4781D01* -X5920Y-4791D01* -X5923Y-4795D01* -X5930Y-4803D01* -X5938Y-4811D01* -X5946Y-4818D01* -X5947Y-4819D01* -X5954Y-4825D01* -X5961Y-4831D01* -X5967Y-4836D01* -X5968Y-4837D01* -X5974Y-4841D01* -X5979Y-4845D01* -X5981Y-4847D01* -X5986Y-4851D01* -X5989Y-4858D01* -X5989Y-4858D01* -X5991Y-4864D01* -X5994Y-4866D01* -X5998Y-4868D01* -X6000Y-4868D01* -X6008Y-4870D01* -X6015Y-4873D01* -X6019Y-4878D01* -X6020Y-4879D01* -X6022Y-4882D01* -X6027Y-4885D01* -X6033Y-4888D01* -X6041Y-4892D01* -X6050Y-4897D01* -X6059Y-4901D01* -X6068Y-4906D01* -X6077Y-4911D01* -X6085Y-4915D01* -X6092Y-4919D01* -X6098Y-4922D01* -X6102Y-4925D01* -X6106Y-4927D01* -X6112Y-4930D01* -X6118Y-4932D01* -X6126Y-4935D01* -X6134Y-4938D01* -X6138Y-4940D01* -X6145Y-4942D01* -X6154Y-4945D01* -X6162Y-4947D01* -X6171Y-4948D01* -X6179Y-4951D01* -X6185Y-4953D01* -X6191Y-4956D01* -X6199Y-4959D01* -X6205Y-4960D01* -X6230Y-4964D01* -X6252Y-4968D01* -X6271Y-4971D01* -X6288Y-4973D01* -X6303Y-4974D01* -X6309Y-4974D01* -X6320Y-4975D01* -X6330Y-4976D01* -X6339Y-4976D01* -X6346Y-4977D01* -X6347Y-4977D01* -X6354Y-4977D01* -X6359Y-4976D01* -X6361Y-4976D01* -X6366Y-4975D01* -X6373Y-4974D01* -X6375Y-4974D01* -X6381Y-4974D01* -X6390Y-4973D01* -X6402Y-4971D01* -X6418Y-4969D01* -X6437Y-4966D01* -X6460Y-4962D01* -X6469Y-4960D01* -X6477Y-4958D01* -X6486Y-4956D01* -X6490Y-4954D01* -X6497Y-4951D01* -X6505Y-4948D01* -X6512Y-4947D01* -X6522Y-4945D01* -X6532Y-4941D01* -X6538Y-4939D01* -X6545Y-4936D01* -X6553Y-4933D01* -X6557Y-4932D01* -X6564Y-4930D01* -X6571Y-4925D01* -X6572Y-4924D01* -X6578Y-4920D01* -X6584Y-4917D01* -X6586Y-4916D01* -X6591Y-4914D01* -X6597Y-4911D01* -X6599Y-4909D01* -X6605Y-4905D01* -X6612Y-4902D01* -X6614Y-4901D01* -X6621Y-4899D01* -X6627Y-4895D01* -X6627Y-4894D01* -X6633Y-4890D01* -X6639Y-4887D01* -X6640Y-4887D01* -X6643Y-4886D01* -X6647Y-4884D01* -X6651Y-4881D01* -X6657Y-4876D01* -X6665Y-4869D01* -X6669Y-4865D01* -X6676Y-4860D01* -X6684Y-4852D01* -X6695Y-4843D01* -X6705Y-4835D01* -X6716Y-4825D01* -X6726Y-4818D01* -X6733Y-4810D01* -X6741Y-4803D01* -X6748Y-4794D01* -X6757Y-4784D01* -X6760Y-4779D01* -X6766Y-4772D01* -X6772Y-4765D01* -X6776Y-4761D01* -X6787Y-4748D01* -X6795Y-4738D01* -X6801Y-4731D01* -X6806Y-4725D01* -X6809Y-4721D01* -X6810Y-4719D01* -X6811Y-4718D01* -X6811Y-4717D01* -X6812Y-4714D01* -X6814Y-4710D01* -X6817Y-4706D01* -X6821Y-4699D01* -X6825Y-4691D01* -X6827Y-4688D01* -X6830Y-4681D01* -X6833Y-4676D01* -X6834Y-4675D01* -X6837Y-4671D01* -X6840Y-4665D01* -X6840Y-4663D01* -X6843Y-4657D01* -X6847Y-4650D01* -X6849Y-4648D01* -X6854Y-4641D01* -X6857Y-4635D01* -X6857Y-4633D01* -X6859Y-4627D01* -X6861Y-4620D01* -X6864Y-4614D01* -X6867Y-4605D01* -X6870Y-4595D01* -X6872Y-4589D01* -X6873Y-4580D01* -X6876Y-4572D01* -X6878Y-4566D01* -X6881Y-4560D01* -X6883Y-4551D01* -X6885Y-4542D01* -X6886Y-4538D01* -X6889Y-4520D01* -X6892Y-4505D01* -X6894Y-4492D01* -X6895Y-4482D01* -X6897Y-4474D01* -X6897Y-4467D01* -X6898Y-4461D01* -X6898Y-4458D01* -X6899Y-4449D01* -X6900Y-4442D01* -X6902Y-4436D01* -X6902Y-4435D01* -X6904Y-4428D01* -X6903Y-4425D01* -X6902Y-4421D01* -X6902Y-4414D01* -X6901Y-4405D01* -X6900Y-4394D01* -X6899Y-4388D01* -X6898Y-4373D01* -X6897Y-4357D01* -X6895Y-4341D01* -X6893Y-4327D01* -X6892Y-4326D01* -X6890Y-4314D01* -X6889Y-4303D01* -X6887Y-4292D01* -X6886Y-4285D01* -X6885Y-4283D01* -X6883Y-4274D01* -X6881Y-4266D01* -X6879Y-4261D01* -X6876Y-4254D01* -X6873Y-4246D01* -X6872Y-4237D01* -X6870Y-4229D01* -X6868Y-4222D01* -X6866Y-4216D01* -X6866Y-4216D01* -X6863Y-4212D01* -X6861Y-4204D01* -X6858Y-4196D01* -X6857Y-4194D01* -X6854Y-4187D01* -X6851Y-4180D01* -X6849Y-4176D01* -X6849Y-4176D01* -X6846Y-4173D01* -X6843Y-4167D01* -X6840Y-4161D01* -X6836Y-4153D01* -X6831Y-4144D01* -X6826Y-4136D01* -X6822Y-4128D01* -X6817Y-4119D01* -X6814Y-4112D01* -X6814Y-4112D01* -X6810Y-4106D01* -X6807Y-4100D01* -X6805Y-4098D01* -X6801Y-4094D01* -X6797Y-4088D01* -X6796Y-4087D01* -X6793Y-4082D01* -X6789Y-4078D01* -X6788Y-4078D01* -X6784Y-4074D01* -X6782Y-4071D01* -X6781Y-4068D01* -X6778Y-4064D01* -X6774Y-4059D01* -X6768Y-4052D01* -X6762Y-4045D01* -X6757Y-4039D01* -X6751Y-4032D01* -X6747Y-4028D01* -X6737Y-4016D01* -X6725Y-4005D01* -X6714Y-3995D01* -X6703Y-3986D01* -X6699Y-3984D01* -X6697Y-3981D01* -X6694Y-3977D01* -X6692Y-3975D01* -X6685Y-3968D01* -X6678Y-3963D01* -X6677Y-3963D01* -X6670Y-3959D01* -X6663Y-3954D01* -X6661Y-3952D01* -X6653Y-3945D01* -X6645Y-3939D01* -X6637Y-3934D01* -X6631Y-3931D01* -X6630Y-3931D01* -X6622Y-3928D01* -X6614Y-3922D01* -X6607Y-3915D01* -X6606Y-3913D01* -X6603Y-3908D01* -X6600Y-3907D01* -X6598Y-3907D01* -X6592Y-3909D01* -X6588Y-3909D01* -X6584Y-3907D01* -X6583Y-3906D01* -X6578Y-3902D01* -X6573Y-3900D01* -X6572Y-3900D01* -X6568Y-3898D01* -X6562Y-3894D01* -X6560Y-3893D01* -X6554Y-3890D01* -X6545Y-3887D01* -X6536Y-3885D01* -X6527Y-3883D01* -X6519Y-3880D01* -X6513Y-3878D01* -X6511Y-3877D01* -X6506Y-3875D01* -X6500Y-3873D01* -X6491Y-3871D01* -X6488Y-3870D01* -X6479Y-3868D01* -X6471Y-3866D01* -X6465Y-3864D01* -X6464Y-3863D01* -X6460Y-3861D01* -X6457Y-3860D01* -X6452Y-3859D01* -X6444Y-3858D01* -X6434Y-3857D01* -X6426Y-3857D01* -X6412Y-3856D01* -X6396Y-3855D01* -X6380Y-3854D01* -X6365Y-3853D01* -X6363Y-3853D01* -X6352Y-3852D01* -X6342Y-3852D01* -X6334Y-3852D01* -X6329Y-3852D01* -X6328Y-3852D01* -X6325Y-3852D01* -X6320Y-3853D01* -X6311Y-3853D01* -X6300Y-3854D01* -X6287Y-3855D01* -X6275Y-3855D01* -X6260Y-3856D01* -X6248Y-3856D01* -X6238Y-3857D01* -X6231Y-3858D01* -X6226Y-3858D01* -X6221Y-3859D01* -X6218Y-3860D01* -X6214Y-3862D01* -X6211Y-3863D01* -X6202Y-3867D01* -X6191Y-3870D01* -X6182Y-3872D01* -X6174Y-3874D01* -X6167Y-3876D01* -X6162Y-3878D01* -X6161Y-3878D01* -X6157Y-3880D01* -X6150Y-3882D01* -X6141Y-3884D01* -X6137Y-3885D01* -X6125Y-3888D01* -X6117Y-3890D01* -X6114Y-3893D01* -X6109Y-3896D01* -X6103Y-3899D01* -X6099Y-3901D01* -X6092Y-3904D01* -X6085Y-3907D01* -X6083Y-3909D01* -X6077Y-3913D01* -X6071Y-3916D01* -X6071Y-3916D01* -X6064Y-3918D01* -X6058Y-3922D01* -X6057Y-3923D01* -X6051Y-3927D01* -X6045Y-3930D01* -X6044Y-3930D01* -X6037Y-3933D01* -X6031Y-3937D01* -X6031Y-3937D01* -X6026Y-3940D01* -X6023Y-3942D01* -X6023Y-3942D01* -X6020Y-3943D01* -X6016Y-3946D01* -X6013Y-3949D01* -X6007Y-3954D01* -X6000Y-3961D01* -X5994Y-3965D01* -X5987Y-3971D01* -X5980Y-3977D01* -X5975Y-3982D01* -X5971Y-3986D01* -X5967Y-3989D01* -X5965Y-3989D01* -X5963Y-3990D01* -X5958Y-3994D01* -X5952Y-4000D01* -X5945Y-4006D01* -X5937Y-4014D01* -X5930Y-4021D01* -X5924Y-4028D01* -X5919Y-4034D01* -X5915Y-4038D01* -X5915Y-4039D01* -X5913Y-4043D01* -X5909Y-4048D01* -X5906Y-4050D01* -X5901Y-4056D01* -X5895Y-4063D01* -X5890Y-4069D01* -X5885Y-4076D01* -X5879Y-4082D01* -X5875Y-4087D01* -X5871Y-4091D01* -X5869Y-4095D01* -X5869Y-4096D01* -X5868Y-4098D01* -X5865Y-4102D01* -X5864Y-4103D01* -X5860Y-4109D01* -X5856Y-4116D01* -X5856Y-4117D01* -X5853Y-4124D01* -X5849Y-4131D01* -X5848Y-4132D01* -X5844Y-4138D01* -X5841Y-4144D01* -X5841Y-4145D01* -X5839Y-4151D01* -X5835Y-4157D01* -X5833Y-4159D01* -X5830Y-4165D01* -X5827Y-4171D01* -X5826Y-4173D01* -X5824Y-4178D01* -X5821Y-4184D01* -X5819Y-4186D01* -X5815Y-4193D01* -X5813Y-4200D01* -X5810Y-4210D01* -X5808Y-4219D01* -X5804Y-4230D01* -X5801Y-4241D01* -X5800Y-4242D01* -X5796Y-4251D01* -X5794Y-4257D01* -X5793Y-4263D01* -X5793Y-4266D01* -X5793Y-4272D01* -X5792Y-4278D01* -X5789Y-4284D01* -X5787Y-4287D01* -X5785Y-4291D01* -X5784Y-4295D01* -X5783Y-4300D01* -X5783Y-4308D01* -X5782Y-4318D01* -X5782Y-4327D01* -X5781Y-4341D01* -X5780Y-4356D01* -X5779Y-4372D01* -X5778Y-4385D01* -X5777Y-4389D01* -X5776Y-4404D01* -X5776Y-4415D01* -X5776Y-4415D01* -X5776Y-4415D01* -G37* -G36* -X4377Y-4412D02* -X4377Y-4427D01* -X4377Y-4440D01* -X4378Y-4452D01* -X4378Y-4458D01* -X4380Y-4465D01* -X4381Y-4476D01* -X4383Y-4488D01* -X4385Y-4502D01* -X4387Y-4516D01* -X4388Y-4529D01* -X4389Y-4534D01* -X4391Y-4545D01* -X4394Y-4556D01* -X4396Y-4564D01* -X4400Y-4573D01* -X4402Y-4583D01* -X4404Y-4591D01* -X4406Y-4601D01* -X4409Y-4610D01* -X4411Y-4614D01* -X4414Y-4620D01* -X4416Y-4626D01* -X4416Y-4627D01* -X4417Y-4632D01* -X4419Y-4638D01* -X4421Y-4641D01* -X4425Y-4648D01* -X4429Y-4656D01* -X4431Y-4660D01* -X4434Y-4667D01* -X4437Y-4672D01* -X4439Y-4674D01* -X4441Y-4678D01* -X4444Y-4684D01* -X4444Y-4687D01* -X4447Y-4694D01* -X4452Y-4701D01* -X4454Y-4705D01* -X4459Y-4710D01* -X4462Y-4716D01* -X4464Y-4719D01* -X4466Y-4723D01* -X4468Y-4727D01* -X4468Y-4416D01* -X4468Y-4397D01* -X4468Y-4379D01* -X4469Y-4363D01* -X4469Y-4355D01* -X4469Y-4341D01* -X4470Y-4330D01* -X4470Y-4322D01* -X4471Y-4317D01* -X4472Y-4313D01* -X4473Y-4310D01* -X4474Y-4308D01* -X4476Y-4306D01* -X4480Y-4298D01* -X4482Y-4291D01* -X4484Y-4278D01* -X4486Y-4268D01* -X4488Y-4261D01* -X4491Y-4255D01* -X4492Y-4254D01* -X4496Y-4246D01* -X4498Y-4236D01* -X4499Y-4227D01* -X4502Y-4222D01* -X4505Y-4218D01* -X4509Y-4213D01* -X4512Y-4206D01* -X4513Y-4203D01* -X4516Y-4196D01* -X4520Y-4191D01* -X4521Y-4190D01* -X4526Y-4184D01* -X4528Y-4178D01* -X4530Y-4171D01* -X4534Y-4165D01* -X4534Y-4164D01* -X4539Y-4158D01* -X4542Y-4152D01* -X4542Y-4151D01* -X4546Y-4145D01* -X4550Y-4142D01* -X4554Y-4138D01* -X4557Y-4134D01* -X4559Y-4130D01* -X4563Y-4125D01* -X4565Y-4124D01* -X4569Y-4119D01* -X4575Y-4112D01* -X4578Y-4107D01* -X4590Y-4093D01* -X4600Y-4081D01* -X4609Y-4072D01* -X4619Y-4064D01* -X4629Y-4056D01* -X4633Y-4053D01* -X4638Y-4049D01* -X4642Y-4045D01* -X4643Y-4045D01* -X4647Y-4041D01* -X4650Y-4038D01* -X4655Y-4035D01* -X4660Y-4031D01* -X4661Y-4030D01* -X4666Y-4025D01* -X4672Y-4019D01* -X4674Y-4018D01* -X4681Y-4013D01* -X4688Y-4009D01* -X4690Y-4009D01* -X4697Y-4006D01* -X4703Y-4002D01* -X4704Y-4001D01* -X4710Y-3997D01* -X4716Y-3994D01* -X4717Y-3994D01* -X4723Y-3992D01* -X4729Y-3988D01* -X4730Y-3987D01* -X4736Y-3983D01* -X4743Y-3980D01* -X4743Y-3980D01* -X4750Y-3977D01* -X4757Y-3973D01* -X4758Y-3972D01* -X4763Y-3969D01* -X4769Y-3967D01* -X4777Y-3966D01* -X4781Y-3965D01* -X4791Y-3964D01* -X4798Y-3962D01* -X4804Y-3958D01* -X4806Y-3958D01* -X4811Y-3955D01* -X4816Y-3953D01* -X4822Y-3951D01* -X4831Y-3950D01* -X4835Y-3950D01* -X4847Y-3948D01* -X4859Y-3946D01* -X4872Y-3944D01* -X4875Y-3943D01* -X4895Y-3939D01* -X4916Y-3937D01* -X4939Y-3936D01* -X4961Y-3937D01* -X4983Y-3939D01* -X5002Y-3942D01* -X5007Y-3944D01* -X5016Y-3946D01* -X5027Y-3948D01* -X5038Y-3949D01* -X5041Y-3950D01* -X5052Y-3951D01* -X5059Y-3952D01* -X5064Y-3953D01* -X5069Y-3955D01* -X5072Y-3957D01* -X5074Y-3959D01* -X5078Y-3961D01* -X5084Y-3963D01* -X5092Y-3964D01* -X5095Y-3965D01* -X5104Y-3966D01* -X5110Y-3967D01* -X5114Y-3969D01* -X5118Y-3972D01* -X5118Y-3972D01* -X5124Y-3976D01* -X5130Y-3978D01* -X5131Y-3979D01* -X5140Y-3981D01* -X5146Y-3985D01* -X5149Y-3988D01* -X5154Y-3992D01* -X5161Y-3994D01* -X5169Y-3997D01* -X5176Y-4002D01* -X5181Y-4006D01* -X5187Y-4009D01* -X5189Y-4010D01* -X5194Y-4011D01* -X5197Y-4013D01* -X5197Y-4013D01* -X5199Y-4015D01* -X5203Y-4019D01* -X5206Y-4021D01* -X5226Y-4037D01* -X5237Y-4047D01* -X5241Y-4051D01* -X5245Y-4053D01* -X5246Y-4054D01* -X5248Y-4055D01* -X5252Y-4059D01* -X5253Y-4061D01* -X5257Y-4065D01* -X5260Y-4068D01* -X5261Y-4068D01* -X5263Y-4069D01* -X5267Y-4073D01* -X5273Y-4079D01* -X5280Y-4087D01* -X5288Y-4095D01* -X5290Y-4097D01* -X5299Y-4108D01* -X5307Y-4117D01* -X5313Y-4124D01* -X5317Y-4129D01* -X5322Y-4135D01* -X5327Y-4142D01* -X5329Y-4145D01* -X5333Y-4150D01* -X5336Y-4155D01* -X5337Y-4155D01* -X5340Y-4160D01* -X5342Y-4165D01* -X5344Y-4171D01* -X5348Y-4176D01* -X5349Y-4177D01* -X5354Y-4183D01* -X5356Y-4189D01* -X5356Y-4190D01* -X5358Y-4196D01* -X5362Y-4202D01* -X5364Y-4204D01* -X5369Y-4211D01* -X5372Y-4219D01* -X5375Y-4227D01* -X5379Y-4232D01* -X5382Y-4237D01* -X5385Y-4242D01* -X5386Y-4249D01* -X5387Y-4258D01* -X5388Y-4265D01* -X5389Y-4270D01* -X5392Y-4274D01* -X5394Y-4276D01* -X5396Y-4280D01* -X5398Y-4286D01* -X5400Y-4294D01* -X5401Y-4299D01* -X5402Y-4308D01* -X5404Y-4317D01* -X5406Y-4323D01* -X5406Y-4324D01* -X5409Y-4330D01* -X5411Y-4338D01* -X5412Y-4345D01* -X5413Y-4358D01* -X5414Y-4373D01* -X5415Y-4391D01* -X5415Y-4410D01* -X5415Y-4428D01* -X5415Y-4445D01* -X5414Y-4453D01* -X5413Y-4466D01* -X5412Y-4476D01* -X5411Y-4485D01* -X5409Y-4492D01* -X5407Y-4500D01* -X5406Y-4503D01* -X5404Y-4511D01* -X5402Y-4520D01* -X5400Y-4526D01* -X5400Y-4528D01* -X5399Y-4539D01* -X5396Y-4548D01* -X5392Y-4553D01* -X5390Y-4558D01* -X5387Y-4566D01* -X5386Y-4577D01* -X5385Y-4588D01* -X5383Y-4590D01* -X5380Y-4594D01* -X5379Y-4596D01* -X5375Y-4602D01* -X5371Y-4609D01* -X5371Y-4610D01* -X5368Y-4616D01* -X5365Y-4622D01* -X5364Y-4623D01* -X5360Y-4628D01* -X5357Y-4635D01* -X5357Y-4636D01* -X5354Y-4643D01* -X5350Y-4649D01* -X5349Y-4650D01* -X5345Y-4656D01* -X5342Y-4662D01* -X5342Y-4663D01* -X5339Y-4671D01* -X5334Y-4675D01* -X5330Y-4679D01* -X5328Y-4682D01* -X5327Y-4683D01* -X5326Y-4686D01* -X5322Y-4689D01* -X5321Y-4691D01* -X5316Y-4695D01* -X5314Y-4698D01* -X5314Y-4699D01* -X5312Y-4702D01* -X5309Y-4706D01* -X5306Y-4709D01* -X5301Y-4714D01* -X5295Y-4721D01* -X5290Y-4727D01* -X5281Y-4738D01* -X5272Y-4748D01* -X5262Y-4757D01* -X5254Y-4763D01* -X5252Y-4765D01* -X5246Y-4769D01* -X5242Y-4772D01* -X5241Y-4773D01* -X5238Y-4777D01* -X5233Y-4779D01* -X5229Y-4782D01* -X5226Y-4785D01* -X5226Y-4786D01* -X5224Y-4789D01* -X5219Y-4792D01* -X5217Y-4793D01* -X5212Y-4796D01* -X5209Y-4800D01* -X5208Y-4801D01* -X5206Y-4804D01* -X5201Y-4807D01* -X5199Y-4808D01* -X5192Y-4811D01* -X5187Y-4815D01* -X5186Y-4816D01* -X5181Y-4819D01* -X5174Y-4822D01* -X5173Y-4822D01* -X5166Y-4825D01* -X5160Y-4830D01* -X5159Y-4830D01* -X5152Y-4835D01* -X5146Y-4837D01* -X5138Y-4840D01* -X5133Y-4844D01* -X5129Y-4848D01* -X5124Y-4850D01* -X5116Y-4852D01* -X5115Y-4852D01* -X5102Y-4856D01* -X5094Y-4860D01* -X5088Y-4863D01* -X5082Y-4865D01* -X5075Y-4866D01* -X5070Y-4867D01* -X5061Y-4868D01* -X5055Y-4869D01* -X5051Y-4871D01* -X5047Y-4874D01* -X5042Y-4877D01* -X5038Y-4880D01* -X5037Y-4880D01* -X5030Y-4881D01* -X5020Y-4881D01* -X5007Y-4881D01* -X4992Y-4882D01* -X4975Y-4882D01* -X4957Y-4882D01* -X4939Y-4882D01* -X4920Y-4882D01* -X4903Y-4882D01* -X4887Y-4882D01* -X4873Y-4881D01* -X4861Y-4881D01* -X4852Y-4881D01* -X4852Y-4880D01* -X4842Y-4879D01* -X4833Y-4875D01* -X4831Y-4874D01* -X4825Y-4870D01* -X4818Y-4868D01* -X4808Y-4867D01* -X4806Y-4866D01* -X4797Y-4865D01* -X4791Y-4863D01* -X4785Y-4860D01* -X4783Y-4859D01* -X4776Y-4855D01* -X4766Y-4853D01* -X4765Y-4852D01* -X4756Y-4851D01* -X4751Y-4848D01* -X4747Y-4846D01* -X4741Y-4841D01* -X4735Y-4838D01* -X4734Y-4838D01* -X4727Y-4835D01* -X4721Y-4831D01* -X4720Y-4830D01* -X4714Y-4826D01* -X4707Y-4823D01* -X4705Y-4823D01* -X4698Y-4820D01* -X4693Y-4816D01* -X4688Y-4812D01* -X4682Y-4809D01* -X4682Y-4809D01* -X4676Y-4806D01* -X4670Y-4802D01* -X4666Y-4799D01* -X4660Y-4794D01* -X4653Y-4788D01* -X4648Y-4784D01* -X4641Y-4778D01* -X4635Y-4773D01* -X4631Y-4769D01* -X4621Y-4761D01* -X4613Y-4754D01* -X4608Y-4749D01* -X4603Y-4745D01* -X4600Y-4742D01* -X4597Y-4739D01* -X4594Y-4735D01* -X4593Y-4734D01* -X4587Y-4728D01* -X4581Y-4721D01* -X4579Y-4719D01* -X4575Y-4715D01* -X4572Y-4711D01* -X4572Y-4710D01* -X4570Y-4709D01* -X4567Y-4705D01* -X4562Y-4700D01* -X4559Y-4696D01* -X4552Y-4689D01* -X4547Y-4683D01* -X4543Y-4677D01* -X4542Y-4675D01* -X4539Y-4669D01* -X4535Y-4664D01* -X4534Y-4663D01* -X4531Y-4659D01* -X4528Y-4653D01* -X4527Y-4650D01* -X4525Y-4644D01* -X4522Y-4639D01* -X4520Y-4638D01* -X4518Y-4634D01* -X4515Y-4628D01* -X4514Y-4625D01* -X4511Y-4619D01* -X4509Y-4614D01* -X4507Y-4613D01* -X4503Y-4606D01* -X4499Y-4597D01* -X4498Y-4590D01* -X4495Y-4580D01* -X4491Y-4571D01* -X4488Y-4565D01* -X4485Y-4560D01* -X4484Y-4552D01* -X4483Y-4545D01* -X4482Y-4535D01* -X4480Y-4528D01* -X4478Y-4525D01* -X4476Y-4522D01* -X4474Y-4518D01* -X4473Y-4514D01* -X4471Y-4509D01* -X4470Y-4502D01* -X4470Y-4492D01* -X4469Y-4480D01* -X4469Y-4464D01* -X4468Y-4453D01* -X4468Y-4435D01* -X4468Y-4416D01* -X4468Y-4727D01* -X4470Y-4729D01* -X4475Y-4736D01* -X4479Y-4741D01* -X4489Y-4752D01* -X4496Y-4760D01* -X4501Y-4766D01* -X4504Y-4770D01* -X4507Y-4773D01* -X4508Y-4775D01* -X4509Y-4777D01* -X4509Y-4777D01* -X4512Y-4781D01* -X4515Y-4783D01* -X4520Y-4787D01* -X4522Y-4791D01* -X4526Y-4796D01* -X4531Y-4803D01* -X4538Y-4811D01* -X4545Y-4818D01* -X4552Y-4823D01* -X4555Y-4825D01* -X4561Y-4829D01* -X4568Y-4834D01* -X4569Y-4835D01* -X4574Y-4840D01* -X4580Y-4845D01* -X4586Y-4850D01* -X4593Y-4856D01* -X4601Y-4862D01* -X4610Y-4869D01* -X4613Y-4872D01* -X4620Y-4878D01* -X4626Y-4883D01* -X4632Y-4886D01* -X4634Y-4887D01* -X4639Y-4889D01* -X4645Y-4892D01* -X4647Y-4894D01* -X4653Y-4898D01* -X4660Y-4901D01* -X4661Y-4901D01* -X4668Y-4904D01* -X4673Y-4908D01* -X4674Y-4908D01* -X4679Y-4912D01* -X4685Y-4915D01* -X4689Y-4916D01* -X4695Y-4919D01* -X4701Y-4922D01* -X4703Y-4923D01* -X4709Y-4928D01* -X4716Y-4932D01* -X4721Y-4933D01* -X4725Y-4934D01* -X4732Y-4936D01* -X4740Y-4939D01* -X4748Y-4943D01* -X4755Y-4947D01* -X4759Y-4948D01* -X4765Y-4948D01* -X4769Y-4949D01* -X4779Y-4950D01* -X4787Y-4953D01* -X4793Y-4956D01* -X4802Y-4959D01* -X4814Y-4961D01* -X4822Y-4962D01* -X4833Y-4964D01* -X4845Y-4966D01* -X4856Y-4968D01* -X4864Y-4969D01* -X4871Y-4971D01* -X4882Y-4972D01* -X4894Y-4973D01* -X4907Y-4974D01* -X4920Y-4975D01* -X4932Y-4976D01* -X4942Y-4976D01* -X4948Y-4976D01* -X4952Y-4976D01* -X4960Y-4975D01* -X4969Y-4975D01* -X4976Y-4974D01* -X4988Y-4973D01* -X5001Y-4972D01* -X5014Y-4970D01* -X5021Y-4969D01* -X5033Y-4967D01* -X5046Y-4965D01* -X5058Y-4963D01* -X5064Y-4961D01* -X5075Y-4960D01* -X5084Y-4957D01* -X5090Y-4955D01* -X5091Y-4954D01* -X5095Y-4952D01* -X5103Y-4950D01* -X5112Y-4947D01* -X5115Y-4947D01* -X5124Y-4944D01* -X5134Y-4942D01* -X5141Y-4939D01* -X5143Y-4938D01* -X5150Y-4935D01* -X5158Y-4932D01* -X5162Y-4931D01* -X5168Y-4928D01* -X5175Y-4925D01* -X5176Y-4924D01* -X5181Y-4920D01* -X5188Y-4917D01* -X5190Y-4916D01* -X5196Y-4913D01* -X5201Y-4910D01* -X5202Y-4909D01* -X5206Y-4907D01* -X5212Y-4903D01* -X5217Y-4901D01* -X5229Y-4895D01* -X5238Y-4890D01* -X5245Y-4886D01* -X5250Y-4883D01* -X5253Y-4880D01* -X5255Y-4878D01* -X5259Y-4874D01* -X5263Y-4872D01* -X5264Y-4872D01* -X5266Y-4870D01* -X5270Y-4867D01* -X5273Y-4864D01* -X5277Y-4860D01* -X5281Y-4857D01* -X5282Y-4856D01* -X5284Y-4855D01* -X5289Y-4851D01* -X5295Y-4846D01* -X5303Y-4840D01* -X5312Y-4832D01* -X5320Y-4824D01* -X5329Y-4817D01* -X5336Y-4810D01* -X5343Y-4804D01* -X5347Y-4799D01* -X5349Y-4797D01* -X5353Y-4792D01* -X5358Y-4786D01* -X5359Y-4786D01* -X5363Y-4780D01* -X5367Y-4775D01* -X5367Y-4775D01* -X5372Y-4770D01* -X5379Y-4767D01* -X5384Y-4766D01* -X5386Y-4763D01* -X5386Y-4760D01* -X5388Y-4753D01* -X5391Y-4745D01* -X5396Y-4739D01* -X5397Y-4739D01* -X5402Y-4735D01* -X5406Y-4729D01* -X5406Y-4728D01* -X5410Y-4723D01* -X5413Y-4718D01* -X5414Y-4717D01* -X5417Y-4713D01* -X5420Y-4708D01* -X5420Y-4706D01* -X5424Y-4698D01* -X5429Y-4689D01* -X5431Y-4688D01* -X5437Y-4679D01* -X5440Y-4671D01* -X5442Y-4665D01* -X5444Y-4661D01* -X5445Y-4660D01* -X5447Y-4657D01* -X5450Y-4652D01* -X5454Y-4645D01* -X5458Y-4638D01* -X5463Y-4630D01* -X5466Y-4626D01* -X5470Y-4622D01* -X5472Y-4619D01* -X5471Y-4616D01* -X5470Y-4615D01* -X5468Y-4610D01* -X5468Y-4605D01* -X5470Y-4599D01* -X5473Y-4591D01* -X5476Y-4585D01* -X5478Y-4579D01* -X5479Y-4576D01* -X5480Y-4568D01* -X5482Y-4558D01* -X5486Y-4548D01* -X5488Y-4543D01* -X5493Y-4530D01* -X5496Y-4519D01* -X5496Y-4510D01* -X5496Y-4505D01* -X5496Y-4497D01* -X5496Y-4486D01* -X5497Y-4474D01* -X5498Y-4462D01* -X5499Y-4450D01* -X5500Y-4439D01* -X5501Y-4430D01* -X5501Y-4429D01* -X5503Y-4421D01* -X5503Y-4415D01* -X5503Y-4408D01* -X5502Y-4399D01* -X5501Y-4397D01* -X5500Y-4390D01* -X5499Y-4379D01* -X5498Y-4367D01* -X5497Y-4353D01* -X5496Y-4339D01* -X5496Y-4337D01* -X5495Y-4323D01* -X5494Y-4312D01* -X5493Y-4303D01* -X5492Y-4297D01* -X5491Y-4292D01* -X5490Y-4288D01* -X5489Y-4285D01* -X5488Y-4284D01* -X5485Y-4277D01* -X5482Y-4268D01* -X5480Y-4258D01* -X5480Y-4257D01* -X5478Y-4249D01* -X5476Y-4240D01* -X5474Y-4235D01* -X5473Y-4234D01* -X5471Y-4228D01* -X5468Y-4221D01* -X5466Y-4212D01* -X5466Y-4211D01* -X5464Y-4200D01* -X5460Y-4192D01* -X5458Y-4188D01* -X5453Y-4181D01* -X5450Y-4174D01* -X5450Y-4173D01* -X5447Y-4167D01* -X5444Y-4162D01* -X5444Y-4161D01* -X5441Y-4157D01* -X5437Y-4151D01* -X5435Y-4147D01* -X5428Y-4132D01* -X5421Y-4121D01* -X5416Y-4111D01* -X5413Y-4106D01* -X5410Y-4102D01* -X5408Y-4099D01* -X5408Y-4098D01* -X5407Y-4096D01* -X5404Y-4092D01* -X5401Y-4088D01* -X5396Y-4083D01* -X5390Y-4075D01* -X5384Y-4068D01* -X5384Y-4068D01* -X5378Y-4061D01* -X5371Y-4054D01* -X5366Y-4048D01* -X5366Y-4048D01* -X5362Y-4043D01* -X5359Y-4039D01* -X5359Y-4038D01* -X5358Y-4034D01* -X5358Y-4029D01* -X5358Y-4028D01* -X5358Y-4024D01* -X5356Y-4022D01* -X5351Y-4021D01* -X5344Y-4019D01* -X5339Y-4015D01* -X5334Y-4010D01* -X5332Y-4006D01* -X5328Y-4000D01* -X5324Y-3996D01* -X5318Y-3993D01* -X5317Y-3993D01* -X5310Y-3989D01* -X5302Y-3984D01* -X5300Y-3982D01* -X5295Y-3977D01* -X5288Y-3970D01* -X5280Y-3965D01* -X5280Y-3964D01* -X5274Y-3959D01* -X5268Y-3954D01* -X5264Y-3950D01* -X5264Y-3950D01* -X5256Y-3944D01* -X5244Y-3937D01* -X5233Y-3931D01* -X5226Y-3928D01* -X5221Y-3924D01* -X5218Y-3922D01* -X5214Y-3920D01* -X5208Y-3917D01* -X5205Y-3916D01* -X5199Y-3913D01* -X5194Y-3910D01* -X5193Y-3908D01* -X5189Y-3905D01* -X5183Y-3903D01* -X5179Y-3901D01* -X5172Y-3899D01* -X5166Y-3895D01* -X5164Y-3894D01* -X5160Y-3891D01* -X5154Y-3888D01* -X5145Y-3886D01* -X5142Y-3886D01* -X5128Y-3882D01* -X5113Y-3877D01* -X5110Y-3875D01* -X5101Y-3871D01* -X5095Y-3869D01* -X5090Y-3868D01* -X5086Y-3868D01* -X5081Y-3869D01* -X5075Y-3867D01* -X5067Y-3864D01* -X5066Y-3864D01* -X5062Y-3862D01* -X5058Y-3860D01* -X5054Y-3859D01* -X5050Y-3858D01* -X5044Y-3857D01* -X5036Y-3857D01* -X5026Y-3856D01* -X5013Y-3856D01* -X5003Y-3855D01* -X4989Y-3855D01* -X4977Y-3854D01* -X4966Y-3853D01* -X4958Y-3853D01* -X4953Y-3852D01* -X4951Y-3852D01* -X4949Y-3852D01* -X4943Y-3852D01* -X4934Y-3852D01* -X4924Y-3852D01* -X4914Y-3853D01* -X4900Y-3854D01* -X4885Y-3855D01* -X4869Y-3856D01* -X4856Y-3857D01* -X4851Y-3857D01* -X4839Y-3858D01* -X4831Y-3858D01* -X4824Y-3859D01* -X4819Y-3861D01* -X4814Y-3863D01* -X4813Y-3863D01* -X4806Y-3866D01* -X4797Y-3869D01* -X4788Y-3871D01* -X4787Y-3871D01* -X4779Y-3872D01* -X4771Y-3875D01* -X4765Y-3877D01* -X4765Y-3877D01* -X4759Y-3880D01* -X4752Y-3882D01* -X4743Y-3884D01* -X4740Y-3885D01* -X4729Y-3888D01* -X4720Y-3891D01* -X4715Y-3893D01* -X4710Y-3897D01* -X4706Y-3898D01* -X4705Y-3899D01* -X4702Y-3900D01* -X4697Y-3903D01* -X4695Y-3904D01* -X4688Y-3909D01* -X4683Y-3910D01* -X4678Y-3909D01* -X4678Y-3908D01* -X4676Y-3908D01* -X4672Y-3911D01* -X4666Y-3916D01* -X4665Y-3917D01* -X4657Y-3925D01* -X4650Y-3929D01* -X4647Y-3930D01* -X4641Y-3932D01* -X4634Y-3936D01* -X4630Y-3939D01* -X4625Y-3943D01* -X4621Y-3945D01* -X4619Y-3946D01* -X4617Y-3947D01* -X4613Y-3951D01* -X4612Y-3953D01* -X4608Y-3957D01* -X4605Y-3959D01* -X4604Y-3960D01* -X4602Y-3961D01* -X4597Y-3964D01* -X4590Y-3969D01* -X4583Y-3976D01* -X4575Y-3982D01* -X4567Y-3990D01* -X4559Y-3996D01* -X4553Y-4001D01* -X4549Y-4004D01* -X4548Y-4005D01* -X4546Y-4006D01* -X4543Y-4010D01* -X4538Y-4015D01* -X4534Y-4020D01* -X4524Y-4031D01* -X4517Y-4039D01* -X4511Y-4046D01* -X4507Y-4051D01* -X4504Y-4054D01* -X4501Y-4057D01* -X4500Y-4058D01* -X4496Y-4063D01* -X4493Y-4069D01* -X4493Y-4069D01* -X4490Y-4074D01* -X4486Y-4077D01* -X4482Y-4081D01* -X4478Y-4086D01* -X4478Y-4086D01* -X4475Y-4091D01* -X4472Y-4095D01* -X4471Y-4095D01* -X4468Y-4098D01* -X4465Y-4103D01* -X4461Y-4110D01* -X4455Y-4123D01* -X4449Y-4134D01* -X4445Y-4142D01* -X4442Y-4147D01* -X4440Y-4149D01* -X4438Y-4152D01* -X4436Y-4158D01* -X4435Y-4160D01* -X4432Y-4167D01* -X4428Y-4173D01* -X4427Y-4174D01* -X4424Y-4178D01* -X4421Y-4185D01* -X4418Y-4193D01* -X4418Y-4194D01* -X4416Y-4202D01* -X4413Y-4210D01* -X4410Y-4215D01* -X4410Y-4216D01* -X4408Y-4221D01* -X4406Y-4229D01* -X4404Y-4237D01* -X4403Y-4240D01* -X4402Y-4248D01* -X4400Y-4256D01* -X4398Y-4261D01* -X4397Y-4262D01* -X4394Y-4269D01* -X4391Y-4277D01* -X4388Y-4285D01* -X4387Y-4292D01* -X4387Y-4294D01* -X4388Y-4299D01* -X4387Y-4307D01* -X4386Y-4317D01* -X4385Y-4328D01* -X4383Y-4341D01* -X4381Y-4353D01* -X4380Y-4363D01* -X4378Y-4372D01* -X4377Y-4384D01* -X4377Y-4398D01* -X4377Y-4412D01* -X4377Y-4412D01* -X4377Y-4412D01* -G37* -G36* -X8448Y-4506D02* -X8450Y-4509D01* -X8454Y-4514D01* -X8460Y-4518D01* -X8466Y-4521D01* -X8470Y-4522D01* -X8477Y-4524D01* -X8485Y-4529D01* -X8486Y-4529D01* -X8492Y-4534D01* -X8498Y-4537D01* -X8501Y-4537D01* -X8507Y-4540D01* -X8514Y-4544D01* -X8514Y-4544D01* -X8520Y-4549D01* -X8527Y-4551D01* -X8533Y-4552D01* -X8538Y-4550D01* -X8540Y-4547D01* -X8541Y-4542D01* -X8543Y-4538D01* -X8548Y-4532D01* -X8554Y-4525D01* -X8561Y-4519D01* -X8568Y-4513D01* -X8573Y-4509D01* -X8577Y-4508D01* -X8582Y-4505D01* -X8588Y-4502D01* -X8590Y-4500D01* -X8596Y-4494D01* -X8621Y-4494D01* -X8632Y-4494D01* -X8639Y-4494D01* -X8645Y-4495D01* -X8649Y-4496D01* -X8654Y-4498D01* -X8659Y-4500D01* -X8666Y-4503D01* -X8672Y-4506D01* -X8676Y-4508D01* -X8680Y-4510D01* -X8684Y-4514D01* -X8684Y-4515D01* -X8688Y-4518D01* -X8691Y-4520D01* -X8691Y-4521D01* -X8694Y-4522D01* -X8698Y-4525D01* -X8702Y-4528D01* -X8708Y-4535D01* -X8711Y-4542D01* -X8711Y-4544D01* -X8714Y-4552D01* -X8718Y-4559D01* -X8721Y-4562D01* -X8723Y-4565D01* -X8724Y-4569D01* -X8725Y-4574D01* -X8725Y-4581D01* -X8726Y-4591D01* -X8726Y-4592D01* -X8727Y-4602D01* -X8728Y-4613D01* -X8729Y-4621D01* -X8730Y-4627D01* -X8731Y-4635D01* -X8731Y-4642D01* -X8730Y-4649D01* -X8729Y-4656D01* -X8728Y-4666D01* -X8727Y-4676D01* -X8726Y-4686D01* -X8725Y-4696D01* -X8725Y-4704D01* -X8724Y-4709D01* -X8723Y-4711D01* -X8721Y-4714D01* -X8719Y-4717D01* -X8713Y-4726D01* -X8711Y-4733D01* -X8710Y-4739D01* -X8707Y-4744D01* -X8703Y-4747D01* -X8698Y-4751D01* -X8694Y-4755D01* -X8693Y-4755D01* -X8690Y-4758D01* -X8685Y-4763D01* -X8678Y-4767D01* -X8676Y-4769D01* -X8662Y-4778D01* -X8622Y-4778D01* -X8582Y-4778D01* -X8576Y-4772D01* -X8570Y-4768D01* -X8564Y-4764D01* -X8563Y-4764D01* -X8558Y-4761D01* -X8554Y-4757D01* -X8551Y-4753D01* -X8548Y-4751D01* -X8545Y-4748D01* -X8539Y-4742D01* -X8532Y-4732D01* -X8529Y-4727D01* -X8524Y-4722D01* -X8518Y-4720D01* -X8511Y-4723D01* -X8506Y-4727D01* -X8500Y-4731D01* -X8494Y-4734D01* -X8492Y-4735D01* -X8486Y-4737D01* -X8480Y-4741D01* -X8479Y-4742D01* -X8473Y-4746D01* -X8466Y-4749D01* -X8464Y-4750D01* -X8455Y-4753D01* -X8450Y-4758D01* -X8449Y-4764D01* -X8451Y-4770D01* -X8455Y-4775D01* -X8459Y-4780D01* -X8462Y-4783D01* -X8462Y-4784D01* -X8463Y-4787D01* -X8466Y-4792D01* -X8469Y-4796D01* -X8474Y-4801D01* -X8477Y-4805D01* -X8478Y-4807D01* -X8481Y-4811D01* -X8485Y-4813D01* -X8489Y-4815D01* -X8495Y-4819D01* -X8498Y-4822D01* -X8504Y-4827D01* -X8512Y-4833D01* -X8516Y-4836D01* -X8523Y-4841D01* -X8528Y-4844D01* -X8532Y-4844D01* -X8539Y-4846D01* -X8545Y-4850D01* -X8549Y-4853D01* -X8553Y-4855D01* -X8558Y-4857D01* -X8565Y-4858D01* -X8573Y-4859D01* -X8584Y-4861D01* -X8596Y-4863D01* -X8606Y-4866D01* -X8610Y-4867D01* -X8625Y-4870D01* -X8640Y-4872D01* -X8656Y-4870D01* -X8663Y-4869D01* -X8671Y-4867D01* -X8679Y-4865D01* -X8683Y-4863D01* -X8689Y-4861D01* -X8697Y-4860D01* -X8706Y-4858D01* -X8708Y-4858D01* -X8717Y-4857D01* -X8723Y-4856D01* -X8728Y-4854D01* -X8731Y-4851D01* -X8733Y-4850D01* -X8739Y-4846D01* -X8745Y-4843D01* -X8747Y-4843D01* -X8753Y-4841D01* -X8760Y-4837D01* -X8762Y-4835D01* -X8766Y-4831D01* -X8770Y-4829D01* -X8771Y-4829D01* -X8773Y-4827D01* -X8777Y-4824D01* -X8782Y-4818D01* -X8789Y-4812D01* -X8797Y-4804D01* -X8804Y-4797D01* -X8811Y-4790D01* -X8813Y-4787D01* -X8817Y-4782D01* -X8820Y-4778D01* -X8820Y-4776D01* -X8821Y-4772D01* -X8824Y-4767D01* -X8827Y-4764D01* -X8833Y-4755D01* -X8835Y-4746D01* -X8837Y-4739D01* -X8840Y-4732D01* -X8841Y-4730D01* -X8845Y-4722D01* -X8848Y-4711D01* -X8848Y-4709D01* -X8849Y-4703D01* -X8849Y-4693D01* -X8850Y-4680D01* -X8850Y-4666D01* -X8850Y-4651D01* -X8850Y-4635D01* -X8850Y-4619D01* -X8850Y-4605D01* -X8850Y-4592D01* -X8849Y-4582D01* -X8849Y-4581D01* -X8848Y-4571D01* -X8847Y-4564D01* -X8846Y-4559D01* -X8844Y-4554D01* -X8842Y-4552D01* -X8838Y-4544D01* -X8835Y-4536D01* -X8834Y-4533D01* -X8831Y-4522D01* -X8826Y-4515D01* -X8822Y-4511D01* -X8820Y-4507D01* -X8820Y-4506D01* -X8819Y-4503D01* -X8816Y-4498D01* -X8812Y-4494D01* -X8808Y-4489D01* -X8805Y-4485D01* -X8804Y-4484D01* -X8804Y-4482D01* -X8801Y-4478D01* -X8795Y-4473D01* -X8788Y-4467D01* -X8777Y-4457D01* -X8769Y-4450D01* -X8763Y-4445D01* -X8759Y-4443D01* -X8756Y-4442D01* -X8753Y-4441D01* -X8749Y-4437D01* -X8747Y-4436D01* -X8738Y-4431D01* -X8729Y-4428D01* -X8721Y-4425D01* -X8713Y-4422D01* -X8710Y-4420D01* -X8706Y-4418D01* -X8702Y-4416D01* -X8697Y-4415D01* -X8690Y-4414D01* -X8680Y-4413D01* -X8679Y-4413D01* -X8668Y-4413D01* -X8655Y-4412D01* -X8641Y-4412D01* -X8627Y-4413D01* -X8613Y-4413D01* -X8600Y-4414D01* -X8588Y-4414D01* -X8578Y-4415D01* -X8570Y-4416D01* -X8566Y-4417D01* -X8565Y-4417D01* -X8560Y-4421D01* -X8557Y-4423D01* -X8553Y-4425D01* -X8546Y-4427D01* -X8539Y-4428D01* -X8531Y-4430D01* -X8526Y-4431D01* -X8522Y-4434D01* -X8522Y-4435D01* -X8517Y-4439D01* -X8511Y-4442D01* -X8506Y-4445D01* -X8502Y-4449D01* -X8499Y-4453D01* -X8493Y-4457D01* -X8492Y-4457D01* -X8487Y-4461D01* -X8480Y-4467D01* -X8473Y-4474D01* -X8467Y-4481D01* -X8463Y-4488D01* -X8462Y-4489D01* -X8459Y-4494D01* -X8454Y-4498D01* -X8454Y-4499D01* -X8450Y-4502D01* -X8448Y-4505D01* -X8448Y-4506D01* -X8448Y-4506D01* -X8448Y-4506D01* -G37* -G36* -X8005Y-4763D02* -X8007Y-4769D01* -X8010Y-4775D01* -X8014Y-4781D01* -X8016Y-4784D01* -X8020Y-4787D01* -X8021Y-4790D01* -X8021Y-4790D01* -X8022Y-4793D01* -X8026Y-4797D01* -X8030Y-4803D01* -X8035Y-4808D01* -X8040Y-4812D01* -X8043Y-4814D01* -X8044Y-4815D01* -X8047Y-4816D01* -X8050Y-4820D01* -X8051Y-4821D01* -X8055Y-4825D01* -X8060Y-4828D01* -X8060Y-4828D01* -X8065Y-4831D01* -X8070Y-4836D01* -X8071Y-4836D01* -X8078Y-4841D01* -X8085Y-4843D01* -X8092Y-4845D01* -X8098Y-4848D01* -X8099Y-4849D01* -X8105Y-4853D01* -X8110Y-4856D01* -X8116Y-4857D01* -X8124Y-4859D01* -X8128Y-4859D01* -X8138Y-4860D01* -X8149Y-4863D01* -X8159Y-4865D01* -X8162Y-4866D01* -X8178Y-4870D01* -X8192Y-4872D01* -X8207Y-4871D01* -X8218Y-4869D01* -X8226Y-4867D01* -X8234Y-4865D01* -X8238Y-4863D01* -X8244Y-4861D01* -X8252Y-4860D01* -X8259Y-4859D01* -X8268Y-4858D01* -X8275Y-4857D01* -X8279Y-4856D01* -X8283Y-4854D01* -X8286Y-4851D01* -X8292Y-4848D01* -X8299Y-4844D01* -X8302Y-4843D01* -X8309Y-4841D01* -X8314Y-4837D01* -X8316Y-4836D01* -X8321Y-4831D01* -X8327Y-4828D01* -X8327Y-4828D01* -X8332Y-4825D01* -X8336Y-4822D01* -X8336Y-4821D01* -X8339Y-4818D01* -X8344Y-4815D01* -X8349Y-4811D01* -X8352Y-4807D01* -X8355Y-4802D01* -X8359Y-4799D01* -X8363Y-4795D01* -X8366Y-4790D01* -X8366Y-4790D01* -X8368Y-4785D01* -X8372Y-4781D01* -X8376Y-4776D01* -X8380Y-4768D01* -X8380Y-4767D01* -X8383Y-4760D01* -X8385Y-4755D01* -X8387Y-4753D01* -X8391Y-4748D01* -X8393Y-4741D01* -X8395Y-4731D01* -X8396Y-4725D01* -X8398Y-4714D01* -X8402Y-4707D01* -X8408Y-4699D01* -X8408Y-4642D01* -X8409Y-4586D01* -X8403Y-4575D01* -X8397Y-4560D01* -X8395Y-4548D01* -X8394Y-4540D01* -X8392Y-4534D01* -X8390Y-4530D01* -X8387Y-4528D01* -X8382Y-4519D01* -X8380Y-4513D01* -X8378Y-4506D01* -X8373Y-4501D01* -X8373Y-4500D01* -X8369Y-4497D01* -X8367Y-4493D01* -X8366Y-4493D01* -X8365Y-4490D01* -X8361Y-4485D01* -X8355Y-4479D01* -X8347Y-4471D01* -X8339Y-4463D01* -X8331Y-4455D01* -X8324Y-4449D01* -X8319Y-4445D01* -X8315Y-4442D01* -X8313Y-4442D01* -X8309Y-4441D01* -X8304Y-4437D01* -X8302Y-4436D01* -X8294Y-4431D01* -X8285Y-4428D01* -X8285Y-4428D01* -X8277Y-4425D01* -X8270Y-4422D01* -X8268Y-4421D01* -X8263Y-4418D01* -X8258Y-4416D01* -X8250Y-4415D01* -X8240Y-4414D01* -X8228Y-4413D01* -X8213Y-4413D01* -X8194Y-4413D01* -X8177Y-4413D01* -X8161Y-4413D01* -X8148Y-4414D01* -X8139Y-4414D01* -X8132Y-4415D01* -X8127Y-4415D01* -X8123Y-4416D01* -X8121Y-4417D01* -X8120Y-4418D01* -X8110Y-4424D01* -X8101Y-4427D01* -X8094Y-4428D01* -X8087Y-4429D01* -X8081Y-4432D01* -X8080Y-4434D01* -X8074Y-4438D01* -X8067Y-4443D01* -X8065Y-4443D01* -X8059Y-4447D01* -X8055Y-4450D01* -X8055Y-4451D01* -X8051Y-4455D01* -X8046Y-4457D01* -X8046Y-4457D01* -X8040Y-4460D01* -X8037Y-4465D01* -X8034Y-4469D01* -X8030Y-4472D01* -X8026Y-4475D01* -X8023Y-4480D01* -X8020Y-4485D01* -X8016Y-4491D01* -X8014Y-4493D01* -X8010Y-4499D01* -X8008Y-4504D01* -X8007Y-4505D01* -X8009Y-4511D01* -X8014Y-4516D01* -X8022Y-4520D01* -X8026Y-4522D01* -X8033Y-4524D01* -X8039Y-4528D01* -X8041Y-4529D01* -X8046Y-4533D01* -X8053Y-4536D01* -X8057Y-4537D01* -X8064Y-4540D01* -X8070Y-4543D01* -X8072Y-4545D01* -X8078Y-4549D01* -X8085Y-4551D01* -X8092Y-4550D01* -X8097Y-4545D01* -X8100Y-4541D01* -X8103Y-4534D01* -X8109Y-4527D01* -X8117Y-4519D01* -X8124Y-4513D01* -X8132Y-4508D01* -X8135Y-4507D01* -X8142Y-4504D01* -X8147Y-4501D01* -X8149Y-4500D01* -X8153Y-4496D01* -X8159Y-4494D01* -X8167Y-4493D01* -X8177Y-4493D01* -X8185Y-4493D01* -X8195Y-4494D01* -X8202Y-4495D01* -X8209Y-4498D01* -X8215Y-4500D01* -X8222Y-4504D01* -X8228Y-4506D01* -X8232Y-4507D01* -X8237Y-4510D01* -X8241Y-4514D01* -X8241Y-4514D01* -X8246Y-4519D01* -X8251Y-4522D01* -X8255Y-4525D01* -X8257Y-4527D01* -X8257Y-4527D01* -X8259Y-4529D01* -X8262Y-4533D01* -X8264Y-4535D01* -X8270Y-4544D01* -X8272Y-4551D01* -X8275Y-4561D01* -X8279Y-4566D01* -X8281Y-4569D01* -X8283Y-4572D01* -X8284Y-4575D01* -X8285Y-4580D01* -X8286Y-4587D01* -X8286Y-4595D01* -X8287Y-4606D01* -X8287Y-4620D01* -X8287Y-4638D01* -X8286Y-4648D01* -X8286Y-4664D01* -X8286Y-4677D01* -X8286Y-4687D01* -X8285Y-4694D01* -X8285Y-4699D01* -X8284Y-4703D01* -X8283Y-4705D01* -X8282Y-4707D01* -X8282Y-4708D01* -X8277Y-4715D01* -X8274Y-4720D01* -X8272Y-4724D01* -X8271Y-4728D01* -X8270Y-4730D01* -X8269Y-4734D01* -X8266Y-4739D01* -X8261Y-4745D01* -X8256Y-4750D01* -X8249Y-4756D01* -X8243Y-4762D01* -X8237Y-4765D01* -X8236Y-4766D01* -X8231Y-4770D01* -X8226Y-4773D01* -X8226Y-4773D01* -X8222Y-4776D01* -X8217Y-4777D01* -X8209Y-4778D01* -X8199Y-4779D01* -X8185Y-4779D01* -X8170Y-4779D01* -X8139Y-4778D01* -X8133Y-4772D01* -X8128Y-4768D01* -X8123Y-4765D01* -X8121Y-4765D01* -X8117Y-4762D01* -X8111Y-4757D01* -X8104Y-4751D01* -X8098Y-4744D01* -X8092Y-4737D01* -X8088Y-4731D01* -X8088Y-4729D01* -X8086Y-4724D01* -X8083Y-4722D01* -X8079Y-4721D01* -X8078Y-4721D01* -X8072Y-4721D01* -X8068Y-4723D01* -X8065Y-4726D01* -X8057Y-4732D01* -X8049Y-4735D01* -X8040Y-4738D01* -X8035Y-4742D01* -X8034Y-4743D01* -X8029Y-4747D01* -X8021Y-4750D01* -X8014Y-4752D01* -X8008Y-4757D01* -X8005Y-4762D01* -X8005Y-4763D01* -X8005Y-4763D01* -X8005Y-4763D01* -G37* -G36* -X6192Y-4380D02* -X6192Y-4401D01* -X6192Y-4420D01* -X6192Y-4438D01* -X6192Y-4454D01* -X6192Y-4468D01* -X6192Y-4479D01* -X6193Y-4487D01* -X6193Y-4491D01* -X6193Y-4493D01* -X6195Y-4494D01* -X6199Y-4495D01* -X6205Y-4495D01* -X6215Y-4495D01* -X6219Y-4495D01* -X6231Y-4495D01* -X6240Y-4496D01* -X6246Y-4497D01* -X6250Y-4500D01* -X6253Y-4503D01* -X6255Y-4509D01* -X6255Y-4510D01* -X6256Y-4513D01* -X6257Y-4516D01* -X6257Y-4519D01* -X6258Y-4524D01* -X6258Y-4530D01* -X6258Y-4538D01* -X6258Y-4549D01* -X6258Y-4561D01* -X6258Y-4576D01* -X6258Y-4594D01* -X6258Y-4616D01* -X6258Y-4641D01* -X6258Y-4644D01* -X6257Y-4767D01* -X6263Y-4769D01* -X6267Y-4770D01* -X6274Y-4770D01* -X6284Y-4771D01* -X6298Y-4771D01* -X6314Y-4771D01* -X6332Y-4771D01* -X6342Y-4771D01* -X6361Y-4771D01* -X6377Y-4771D01* -X6389Y-4771D01* -X6399Y-4771D01* -X6406Y-4771D01* -X6411Y-4770D01* -X6414Y-4770D01* -X6416Y-4769D01* -X6417Y-4769D01* -X6417Y-4768D01* -X6417Y-4766D01* -X6417Y-4760D01* -X6417Y-4750D01* -X6417Y-4738D01* -X6418Y-4723D01* -X6418Y-4707D01* -X6418Y-4688D01* -X6418Y-4668D01* -X6418Y-4646D01* -X6418Y-4639D01* -X6418Y-4612D01* -X6418Y-4589D01* -X6418Y-4569D01* -X6418Y-4553D01* -X6418Y-4539D01* -X6419Y-4528D01* -X6419Y-4519D01* -X6420Y-4512D01* -X6420Y-4507D01* -X6421Y-4503D01* -X6422Y-4501D01* -X6423Y-4499D01* -X6425Y-4498D01* -X6426Y-4497D01* -X6429Y-4496D01* -X6436Y-4495D01* -X6444Y-4495D01* -X6452Y-4495D01* -X6463Y-4495D01* -X6470Y-4494D01* -X6475Y-4493D01* -X6478Y-4492D01* -X6478Y-4492D01* -X6483Y-4489D01* -X6483Y-4387D01* -X6483Y-4364D01* -X6483Y-4344D01* -X6483Y-4327D01* -X6482Y-4314D01* -X6482Y-4302D01* -X6482Y-4293D01* -X6482Y-4286D01* -X6481Y-4280D01* -X6480Y-4275D01* -X6480Y-4271D01* -X6480Y-4271D01* -X6478Y-4262D01* -X6476Y-4256D01* -X6474Y-4253D01* -X6471Y-4251D01* -X6466Y-4250D01* -X6460Y-4248D01* -X6455Y-4246D01* -X6453Y-4245D01* -X6450Y-4244D01* -X6446Y-4244D01* -X6440Y-4244D01* -X6432Y-4243D01* -X6422Y-4243D01* -X6409Y-4243D01* -X6394Y-4243D01* -X6375Y-4243D01* -X6353Y-4243D01* -X6337Y-4243D01* -X6225Y-4243D01* -X6211Y-4250D01* -X6203Y-4254D01* -X6198Y-4258D01* -X6195Y-4261D01* -X6194Y-4264D01* -X6194Y-4266D01* -X6193Y-4269D01* -X6193Y-4274D01* -X6192Y-4280D01* -X6192Y-4288D01* -X6192Y-4299D01* -X6192Y-4312D01* -X6192Y-4328D01* -X6192Y-4348D01* -X6192Y-4371D01* -X6192Y-4380D01* -X6192Y-4380D01* -X6192Y-4380D01* -G37* -G36* -X6266Y-4115D02* -X6267Y-4123D01* -X6267Y-4126D01* -X6267Y-4136D01* -X6268Y-4142D01* -X6269Y-4146D01* -X6270Y-4149D01* -X6272Y-4151D01* -X6275Y-4156D01* -X6279Y-4162D01* -X6279Y-4162D01* -X6282Y-4167D01* -X6286Y-4173D01* -X6292Y-4179D01* -X6297Y-4183D01* -X6302Y-4186D01* -X6303Y-4186D01* -X6307Y-4187D01* -X6312Y-4189D01* -X6315Y-4191D01* -X6326Y-4196D01* -X6337Y-4197D01* -X6347Y-4196D01* -X6356Y-4193D01* -X6362Y-4190D01* -X6370Y-4186D01* -X6374Y-4185D01* -X6382Y-4182D01* -X6386Y-4178D01* -X6387Y-4176D01* -X6391Y-4171D01* -X6395Y-4166D01* -X6399Y-4161D01* -X6402Y-4156D01* -X6404Y-4147D01* -X6405Y-4135D01* -X6405Y-4123D01* -X6405Y-4110D01* -X6404Y-4106D01* -X6403Y-4091D01* -X6388Y-4076D01* -X6381Y-4070D01* -X6376Y-4065D01* -X6372Y-4063D01* -X6368Y-4061D01* -X6365Y-4061D01* -X6357Y-4059D01* -X6349Y-4057D01* -X6348Y-4057D01* -X6344Y-4055D01* -X6340Y-4055D01* -X6335Y-4056D01* -X6328Y-4057D01* -X6325Y-4058D01* -X6317Y-4060D01* -X6310Y-4061D01* -X6305Y-4062D01* -X6305Y-4062D01* -X6301Y-4063D01* -X6296Y-4067D01* -X6290Y-4072D01* -X6285Y-4077D01* -X6281Y-4082D01* -X6279Y-4085D01* -X6277Y-4090D01* -X6274Y-4096D01* -X6272Y-4098D01* -X6269Y-4102D01* -X6268Y-4105D01* -X6267Y-4109D01* -X6266Y-4115D01* -X6266Y-4115D01* -X6266Y-4115D01* -G37* -G36* -X4657Y-4417D02* -X4657Y-4434D01* -X4659Y-4441D01* -X4662Y-4452D01* -X4664Y-4465D01* -X4666Y-4480D01* -X4668Y-4497D01* -X4669Y-4507D01* -X4670Y-4514D01* -X4671Y-4520D01* -X4673Y-4524D01* -X4675Y-4527D01* -X4679Y-4533D01* -X4681Y-4541D01* -X4682Y-4545D01* -X4685Y-4554D01* -X4690Y-4562D01* -X4694Y-4569D01* -X4697Y-4576D01* -X4698Y-4578D01* -X4700Y-4585D01* -X4704Y-4591D01* -X4705Y-4592D01* -X4709Y-4597D01* -X4712Y-4602D01* -X4712Y-4603D01* -X4715Y-4607D01* -X4719Y-4610D01* -X4723Y-4615D01* -X4726Y-4619D01* -X4728Y-4622D01* -X4731Y-4625D01* -X4736Y-4630D01* -X4742Y-4637D01* -X4751Y-4645D01* -X4754Y-4647D01* -X4760Y-4653D01* -X4766Y-4659D01* -X4769Y-4662D01* -X4774Y-4666D01* -X4779Y-4669D01* -X4780Y-4669D01* -X4785Y-4671D01* -X4790Y-4675D01* -X4792Y-4676D01* -X4798Y-4680D01* -X4804Y-4683D01* -X4805Y-4684D01* -X4812Y-4687D01* -X4817Y-4691D01* -X4818Y-4691D01* -X4822Y-4694D01* -X4828Y-4696D01* -X4836Y-4698D01* -X4837Y-4698D01* -X4850Y-4701D01* -X4860Y-4705D01* -X4860Y-4705D01* -X4864Y-4708D01* -X4868Y-4709D01* -X4873Y-4710D01* -X4880Y-4711D01* -X4890Y-4711D01* -X4892Y-4711D01* -X4910Y-4712D01* -X4925Y-4713D01* -X4937Y-4713D01* -X4947Y-4713D01* -X4955Y-4713D01* -X4962Y-4713D01* -X4966Y-4712D01* -X4976Y-4712D01* -X4986Y-4711D01* -X4996Y-4711D01* -X5005Y-4710D01* -X5012Y-4709D01* -X5019Y-4708D01* -X5024Y-4706D01* -X5032Y-4702D01* -X5041Y-4699D01* -X5047Y-4698D01* -X5058Y-4694D01* -X5065Y-4690D01* -X5070Y-4686D01* -X5074Y-4684D01* -X5076Y-4684D01* -X5080Y-4683D01* -X5084Y-4679D01* -X5086Y-4678D01* -X5091Y-4673D01* -X5097Y-4669D01* -X5098Y-4669D01* -X5102Y-4666D01* -X5109Y-4660D01* -X5116Y-4653D01* -X5124Y-4646D01* -X5131Y-4638D01* -X5137Y-4631D01* -X5141Y-4625D01* -X5143Y-4622D01* -X5146Y-4617D01* -X5151Y-4612D01* -X5151Y-4612D01* -X5156Y-4607D01* -X5157Y-4601D01* -X5160Y-4593D01* -X5165Y-4586D01* -X5165Y-4586D01* -X5169Y-4580D01* -X5171Y-4573D01* -X5172Y-4567D01* -X5175Y-4557D01* -X5178Y-4549D01* -X5179Y-4548D01* -X5182Y-4541D01* -X5185Y-4533D01* -X5186Y-4526D01* -X5186Y-4519D01* -X5186Y-4518D01* -X5185Y-4517D01* -X5184Y-4516D01* -X5182Y-4515D01* -X5179Y-4515D01* -X5174Y-4515D01* -X5166Y-4514D01* -X5156Y-4514D01* -X5143Y-4514D01* -X5127Y-4514D01* -X5126Y-4514D01* -X5111Y-4514D01* -X5097Y-4514D01* -X5085Y-4514D01* -X5076Y-4514D01* -X5069Y-4515D01* -X5066Y-4515D01* -X5065Y-4515D01* -X5063Y-4516D01* -X5061Y-4521D01* -X5057Y-4527D01* -X5054Y-4534D01* -X5052Y-4542D01* -X5050Y-4548D01* -X5050Y-4549D01* -X5046Y-4559D01* -X5042Y-4565D01* -X5038Y-4570D01* -X5033Y-4577D01* -X5033Y-4577D01* -X5029Y-4582D01* -X5023Y-4587D01* -X5021Y-4588D01* -X5015Y-4592D01* -X5008Y-4596D01* -X5007Y-4597D01* -X4999Y-4602D01* -X4992Y-4604D01* -X4985Y-4606D01* -X4976Y-4608D01* -X4969Y-4611D01* -X4962Y-4613D01* -X4954Y-4615D01* -X4945Y-4615D01* -X4937Y-4616D01* -X4928Y-4616D01* -X4922Y-4615D01* -X4918Y-4615D01* -X4915Y-4613D01* -X4912Y-4611D01* -X4907Y-4608D01* -X4900Y-4606D01* -X4891Y-4605D01* -X4889Y-4605D01* -X4880Y-4603D01* -X4875Y-4602D01* -X4871Y-4601D01* -X4868Y-4598D01* -X4865Y-4595D01* -X4860Y-4591D01* -X4857Y-4588D01* -X4855Y-4587D01* -X4853Y-4586D01* -X4850Y-4582D01* -X4850Y-4582D01* -X4846Y-4577D01* -X4841Y-4574D01* -X4837Y-4572D01* -X4835Y-4569D01* -X4835Y-4569D01* -X4834Y-4567D01* -X4831Y-4563D01* -X4829Y-4561D01* -X4823Y-4554D01* -X4820Y-4547D01* -X4817Y-4540D01* -X4814Y-4534D01* -X4813Y-4533D01* -X4810Y-4528D01* -X4807Y-4521D01* -X4805Y-4515D01* -X4803Y-4507D01* -X4800Y-4501D01* -X4798Y-4498D01* -X4796Y-4495D01* -X4795Y-4492D01* -X4794Y-4488D01* -X4793Y-4482D01* -X4792Y-4472D01* -X4792Y-4467D01* -X4791Y-4457D01* -X4791Y-4445D01* -X4791Y-4430D01* -X4791Y-4414D01* -X4791Y-4399D01* -X4791Y-4390D01* -X4792Y-4339D01* -X4798Y-4329D01* -X4802Y-4321D01* -X4805Y-4313D01* -X4806Y-4309D01* -X4808Y-4302D01* -X4811Y-4296D01* -X4813Y-4294D01* -X4817Y-4289D01* -X4820Y-4283D01* -X4823Y-4277D01* -X4828Y-4271D01* -X4833Y-4265D01* -X4839Y-4260D01* -X4843Y-4258D01* -X4847Y-4255D01* -X4850Y-4251D01* -X4854Y-4246D01* -X4858Y-4244D01* -X4862Y-4242D01* -X4867Y-4238D01* -X4868Y-4237D01* -X4871Y-4234D01* -X4873Y-4233D01* -X4877Y-4231D01* -X4881Y-4230D01* -X4888Y-4230D01* -X4898Y-4229D01* -X4903Y-4229D01* -X4916Y-4228D01* -X4930Y-4228D01* -X4945Y-4228D01* -X4956Y-4228D01* -X4967Y-4229D01* -X4974Y-4229D01* -X4979Y-4230D01* -X4983Y-4231D01* -X4985Y-4233D01* -X4988Y-4235D01* -X4995Y-4240D01* -X5004Y-4243D01* -X5004Y-4243D01* -X5011Y-4245D01* -X5015Y-4248D01* -X5018Y-4251D01* -X5021Y-4256D01* -X5026Y-4260D01* -X5030Y-4262D01* -X5032Y-4265D01* -X5032Y-4265D01* -X5034Y-4267D01* -X5037Y-4271D01* -X5040Y-4274D01* -X5045Y-4280D01* -X5048Y-4286D01* -X5049Y-4293D01* -X5051Y-4303D01* -X5056Y-4312D01* -X5061Y-4321D01* -X5062Y-4329D01* -X5059Y-4334D01* -X5054Y-4338D01* -X5045Y-4339D01* -X5045Y-4339D01* -X5038Y-4340D01* -X5035Y-4342D01* -X5035Y-4346D01* -X5038Y-4349D01* -X5041Y-4351D01* -X5046Y-4356D01* -X5053Y-4362D01* -X5061Y-4371D01* -X5071Y-4380D01* -X5081Y-4391D01* -X5084Y-4393D01* -X5094Y-4403D01* -X5104Y-4413D01* -X5112Y-4421D01* -X5119Y-4427D01* -X5124Y-4432D01* -X5126Y-4434D01* -X5126Y-4434D01* -X5129Y-4433D01* -X5132Y-4429D01* -X5133Y-4428D01* -X5136Y-4424D01* -X5141Y-4419D01* -X5148Y-4412D01* -X5156Y-4403D01* -X5165Y-4394D01* -X5175Y-4385D01* -X5184Y-4375D01* -X5193Y-4367D01* -X5201Y-4359D01* -X5207Y-4353D01* -X5212Y-4349D01* -X5212Y-4349D01* -X5216Y-4345D01* -X5216Y-4342D01* -X5213Y-4340D01* -X5205Y-4339D01* -X5204Y-4339D01* -X5196Y-4339D01* -X5191Y-4337D01* -X5188Y-4334D01* -X5186Y-4327D01* -X5186Y-4326D01* -X5184Y-4319D01* -X5180Y-4311D01* -X5180Y-4310D01* -X5177Y-4304D01* -X5174Y-4295D01* -X5173Y-4285D01* -X5171Y-4276D01* -X5169Y-4270D01* -X5167Y-4266D01* -X5165Y-4262D01* -X5161Y-4256D01* -X5158Y-4248D01* -X5155Y-4239D01* -X5151Y-4233D01* -X5146Y-4228D01* -X5143Y-4221D01* -X5142Y-4221D01* -X5140Y-4215D01* -X5137Y-4211D01* -X5136Y-4211D01* -X5133Y-4208D01* -X5129Y-4203D01* -X5129Y-4201D01* -X5125Y-4196D01* -X5122Y-4193D01* -X5121Y-4193D01* -X5117Y-4190D01* -X5114Y-4186D01* -X5114Y-4186D01* -X5111Y-4182D01* -X5109Y-4180D01* -X5109Y-4180D01* -X5106Y-4179D01* -X5102Y-4175D01* -X5099Y-4172D01* -X5094Y-4168D01* -X5090Y-4165D01* -X5087Y-4164D01* -X5084Y-4163D01* -X5079Y-4160D01* -X5078Y-4159D01* -X5071Y-4153D01* -X5064Y-4149D01* -X5058Y-4148D01* -X5052Y-4146D01* -X5046Y-4142D01* -X5045Y-4141D01* -X5041Y-4138D01* -X5036Y-4136D01* -X5030Y-4135D01* -X5024Y-4134D01* -X5015Y-4133D01* -X5009Y-4131D01* -X5003Y-4128D01* -X5002Y-4127D01* -X4994Y-4122D01* -X4950Y-4121D01* -X4931Y-4121D01* -X4916Y-4121D01* -X4904Y-4122D01* -X4894Y-4123D01* -X4886Y-4125D01* -X4880Y-4127D01* -X4875Y-4129D01* -X4869Y-4132D01* -X4860Y-4134D01* -X4852Y-4135D01* -X4842Y-4136D01* -X4836Y-4138D01* -X4831Y-4140D01* -X4828Y-4142D01* -X4822Y-4146D01* -X4814Y-4149D01* -X4812Y-4150D01* -X4803Y-4153D01* -X4798Y-4157D01* -X4798Y-4157D01* -X4793Y-4161D01* -X4785Y-4164D01* -X4785Y-4165D01* -X4779Y-4167D01* -X4774Y-4170D01* -X4773Y-4171D01* -X4768Y-4175D01* -X4763Y-4179D01* -X4758Y-4182D01* -X4755Y-4187D01* -X4753Y-4190D01* -X4751Y-4192D01* -X4748Y-4193D01* -X4744Y-4197D01* -X4739Y-4202D01* -X4733Y-4207D01* -X4729Y-4212D01* -X4726Y-4216D01* -X4725Y-4217D01* -X4722Y-4222D01* -X4719Y-4225D01* -X4716Y-4228D01* -X4713Y-4234D01* -X4713Y-4234D01* -X4709Y-4240D01* -X4705Y-4245D01* -X4701Y-4251D01* -X4697Y-4258D01* -X4697Y-4261D01* -X4694Y-4268D01* -X4691Y-4274D01* -X4689Y-4276D01* -X4684Y-4284D01* -X4682Y-4292D01* -X4680Y-4299D01* -X4677Y-4308D01* -X4675Y-4311D01* -X4672Y-4316D01* -X4671Y-4321D01* -X4669Y-4328D01* -X4668Y-4338D01* -X4668Y-4341D01* -X4666Y-4361D01* -X4663Y-4378D01* -X4660Y-4394D01* -X4659Y-4399D01* -X4657Y-4417D01* -X4657Y-4417D01* -X4657Y-4417D01* -G37* -G36* -X5681Y-35849D02* -X5682Y-35849D01* -X5684Y-35847D01* -X5688Y-35844D01* -X5694Y-35839D01* -X5700Y-35834D01* -X5708Y-35828D01* -X5717Y-35820D01* -X5726Y-35812D01* -X5737Y-35804D01* -X5748Y-35794D01* -X5760Y-35785D01* -X5766Y-35779D01* -X5778Y-35769D01* -X5789Y-35760D01* -X5800Y-35751D01* -X5810Y-35742D01* -X5819Y-35735D01* -X5827Y-35728D01* -X5835Y-35722D01* -X5841Y-35717D01* -X5845Y-35713D01* -X5849Y-35710D01* -X5851Y-35709D01* -X5851Y-35709D01* -X5853Y-35710D01* -X5856Y-35712D01* -X5861Y-35714D01* -X5868Y-35718D01* -X5876Y-35722D01* -X5885Y-35727D01* -X5895Y-35733D01* -X5906Y-35739D01* -X5918Y-35745D01* -X5931Y-35751D01* -X5943Y-35758D01* -X5957Y-35765D01* -X5970Y-35772D01* -X5983Y-35780D01* -X5997Y-35787D01* -X6010Y-35793D01* -X6022Y-35800D01* -X6034Y-35806D01* -X6045Y-35812D01* -X6055Y-35818D01* -X6065Y-35823D01* -X6073Y-35827D01* -X6080Y-35831D01* -X6085Y-35833D01* -X6089Y-35835D01* -X6091Y-35836D01* -X6091Y-35837D01* -X6092Y-35836D01* -X6093Y-35835D01* -X6095Y-35832D01* -X6097Y-35828D01* -X6101Y-35823D01* -X6105Y-35816D01* -X6110Y-35808D01* -X6115Y-35798D01* -X6122Y-35787D01* -X6130Y-35774D01* -X6139Y-35759D01* -X6142Y-35753D01* -X6149Y-35741D01* -X6156Y-35729D01* -X6163Y-35717D01* -X6169Y-35707D01* -X6175Y-35697D01* -X6180Y-35689D01* -X6184Y-35682D01* -X6187Y-35676D01* -X6190Y-35672D01* -X6191Y-35669D01* -X6192Y-35668D01* -X6193Y-35668D01* -X6196Y-35670D01* -X6200Y-35672D01* -X6207Y-35675D01* -X6214Y-35678D01* -X6223Y-35682D01* -X6233Y-35687D01* -X6243Y-35692D01* -X6255Y-35698D01* -X6266Y-35704D01* -X6279Y-35710D01* -X6291Y-35716D01* -X6304Y-35722D01* -X6317Y-35729D01* -X6329Y-35735D01* -X6341Y-35741D01* -X6353Y-35747D01* -X6363Y-35753D01* -X6373Y-35758D01* -X6382Y-35762D01* -X6390Y-35767D01* -X6396Y-35770D01* -X6401Y-35773D01* -X6405Y-35775D01* -X6405Y-35775D01* -X6410Y-35778D01* -X6414Y-35781D01* -X6417Y-35782D01* -X6418Y-35783D01* -X6418Y-35783D01* -X6419Y-35782D01* -X6419Y-35778D01* -X6420Y-35773D01* -X6422Y-35766D01* -X6423Y-35757D01* -X6425Y-35747D01* -X6428Y-35734D01* -X6430Y-35721D01* -X6433Y-35706D01* -X6436Y-35689D01* -X6439Y-35672D01* -X6443Y-35653D01* -X6446Y-35634D01* -X6450Y-35613D01* -X6454Y-35592D01* -X6458Y-35570D01* -X6461Y-35554D01* -X6466Y-35527D01* -X6471Y-35502D01* -X6475Y-35480D01* -X6479Y-35459D01* -X6482Y-35440D01* -X6485Y-35423D01* -X6488Y-35408D01* -X6491Y-35394D01* -X6493Y-35382D01* -X6495Y-35371D01* -X6497Y-35361D01* -X6499Y-35352D01* -X6500Y-35345D01* -X6502Y-35339D01* -X6502Y-35333D01* -X6503Y-35329D01* -X6504Y-35325D01* -X6505Y-35322D01* -X6506Y-35319D01* -X6507Y-35317D01* -X6507Y-35315D01* -X6508Y-35314D01* -X6509Y-35313D01* -X6510Y-35311D01* -X6511Y-35310D01* -X6512Y-35309D01* -X6512Y-35309D01* -X6515Y-35305D01* -X6519Y-35302D01* -X6521Y-35300D01* -X6523Y-35299D01* -X6525Y-35298D01* -X6529Y-35297D01* -X6534Y-35294D01* -X6540Y-35292D01* -X6548Y-35289D01* -X6557Y-35285D01* -X6567Y-35280D01* -X6579Y-35275D01* -X6593Y-35270D01* -X6608Y-35264D01* -X6626Y-35257D01* -X6644Y-35249D01* -X6665Y-35241D01* -X6688Y-35232D01* -X6712Y-35222D01* -X6739Y-35212D01* -X6767Y-35200D01* -X6793Y-35190D01* -X6818Y-35180D01* -X6840Y-35171D01* -X6861Y-35163D01* -X6880Y-35155D01* -X6897Y-35148D01* -X6913Y-35142D01* -X6927Y-35137D01* -X6939Y-35132D01* -X6951Y-35127D01* -X6961Y-35123D01* -X6970Y-35120D01* -X6977Y-35117D01* -X6984Y-35114D01* -X6990Y-35112D01* -X6995Y-35110D01* -X6999Y-35108D01* -X7003Y-35107D01* -X7006Y-35106D01* -X7008Y-35105D01* -X7010Y-35105D01* -X7012Y-35104D01* -X7013Y-35104D01* -X7014Y-35104D01* -X7015Y-35104D01* -X7016Y-35104D01* -X7026Y-35104D01* -X7035Y-35106D01* -X7040Y-35108D01* -X7042Y-35109D01* -X7045Y-35111D01* -X7049Y-35114D01* -X7056Y-35119D01* -X7064Y-35124D01* -X7073Y-35130D01* -X7084Y-35137D01* -X7096Y-35146D01* -X7109Y-35155D01* -X7123Y-35164D01* -X7139Y-35175D01* -X7155Y-35186D01* -X7172Y-35198D01* -X7191Y-35211D01* -X7210Y-35224D01* -X7229Y-35237D01* -X7250Y-35251D01* -X7270Y-35265D01* -X7291Y-35279D01* -X7313Y-35294D01* -X7333Y-35308D01* -X7354Y-35322D01* -X7373Y-35336D01* -X7393Y-35349D01* -X7411Y-35361D01* -X7428Y-35373D01* -X7445Y-35384D01* -X7460Y-35395D01* -X7475Y-35405D01* -X7488Y-35414D01* -X7500Y-35422D01* -X7511Y-35429D01* -X7521Y-35436D01* -X7529Y-35441D01* -X7535Y-35446D01* -X7540Y-35449D01* -X7543Y-35451D01* -X7545Y-35452D01* -X7549Y-35454D01* -X7552Y-35455D01* -X7556Y-35455D01* -X7560Y-35455D01* -X7561Y-35455D01* -X7562Y-35455D01* -X7564Y-35455D01* -X7565Y-35455D01* -X7566Y-35455D01* -X7567Y-35455D01* -X7568Y-35455D01* -X7570Y-35454D01* -X7572Y-35453D01* -X7574Y-35452D01* -X7576Y-35450D01* -X7579Y-35448D01* -X7582Y-35445D01* -X7586Y-35442D01* -X7590Y-35438D01* -X7595Y-35434D01* -X7600Y-35429D01* -X7607Y-35422D01* -X7614Y-35416D01* -X7622Y-35408D01* -X7631Y-35399D01* -X7640Y-35389D01* -X7651Y-35379D01* -X7663Y-35367D01* -X7676Y-35354D01* -X7690Y-35339D01* -X7706Y-35324D01* -X7723Y-35307D01* -X7741Y-35289D01* -X7760Y-35269D01* -X7781Y-35248D01* -X7804Y-35226D01* -X7804Y-35225D01* -X7823Y-35206D01* -X7842Y-35188D01* -X7860Y-35170D01* -X7877Y-35153D01* -X7894Y-35136D01* -X7910Y-35120D01* -X7925Y-35104D01* -X7940Y-35089D01* -X7954Y-35076D01* -X7967Y-35063D01* -X7979Y-35051D01* -X7989Y-35040D01* -X7999Y-35030D01* -X8008Y-35021D01* -X8015Y-35013D01* -X8021Y-35007D01* -X8026Y-35002D01* -X8030Y-34999D01* -X8031Y-34997D01* -X8032Y-34996D01* -X8035Y-34990D01* -X8038Y-34982D01* -X8038Y-34974D01* -X8037Y-34966D01* -X8037Y-34964D01* -X8036Y-34963D01* -X8034Y-34960D01* -X8031Y-34955D01* -X8027Y-34949D01* -X8021Y-34941D01* -X8015Y-34931D01* -X8008Y-34921D01* -X7999Y-34909D01* -X7990Y-34895D01* -X7981Y-34881D01* -X7970Y-34866D01* -X7959Y-34849D01* -X7947Y-34832D01* -X7935Y-34814D01* -X7922Y-34795D01* -X7908Y-34775D01* -X7894Y-34755D01* -X7880Y-34734D01* -X7868Y-34716D01* -X7853Y-34695D01* -X7839Y-34674D01* -X7825Y-34654D01* -X7812Y-34635D01* -X7799Y-34616D01* -X7786Y-34598D01* -X7775Y-34581D01* -X7764Y-34565D01* -X7753Y-34550D01* -X7743Y-34536D01* -X7734Y-34523D01* -X7726Y-34511D01* -X7719Y-34500D01* -X7713Y-34491D01* -X7708Y-34484D01* -X7704Y-34478D01* -X7701Y-34473D01* -X7699Y-34471D01* -X7698Y-34470D01* -X7695Y-34463D01* -X7693Y-34455D01* -X7693Y-34446D01* -X7695Y-34437D01* -X7698Y-34429D01* -X7698Y-34428D01* -X7699Y-34426D01* -X7701Y-34422D01* -X7704Y-34417D01* -X7707Y-34409D01* -X7712Y-34401D01* -X7717Y-34391D01* -X7723Y-34380D01* -X7729Y-34368D01* -X7736Y-34355D01* -X7743Y-34342D01* -X7751Y-34328D01* -X7758Y-34315D01* -X7814Y-34209D01* -X7814Y-34199D01* -X7814Y-34194D01* -X7814Y-34191D01* -X7813Y-34189D01* -X7812Y-34186D01* -X7811Y-34185D01* -X7808Y-34182D01* -X7806Y-34179D01* -X7805Y-34178D01* -X7803Y-34178D01* -X7800Y-34176D01* -X7795Y-34174D01* -X7788Y-34171D01* -X7779Y-34167D01* -X7769Y-34163D01* -X7756Y-34158D01* -X7742Y-34152D01* -X7727Y-34145D01* -X7710Y-34138D01* -X7691Y-34131D01* -X7671Y-34122D01* -X7650Y-34114D01* -X7628Y-34104D01* -X7604Y-34094D01* -X7579Y-34084D01* -X7553Y-34073D01* -X7526Y-34062D01* -X7498Y-34051D01* -X7469Y-34039D01* -X7440Y-34026D01* -X7409Y-34014D01* -X7378Y-34001D01* -X7346Y-33988D01* -X7314Y-33974D01* -X7281Y-33961D01* -X7266Y-33955D01* -X7226Y-33938D01* -X7188Y-33922D01* -X7152Y-33907D01* -X7118Y-33893D01* -X7085Y-33880D01* -X7055Y-33867D01* -X7026Y-33855D01* -X6999Y-33844D01* -X6973Y-33834D01* -X6950Y-33824D01* -X6927Y-33815D01* -X6907Y-33806D01* -X6887Y-33798D01* -X6869Y-33791D01* -X6852Y-33784D01* -X6837Y-33778D01* -X6823Y-33772D01* -X6810Y-33767D01* -X6798Y-33762D01* -X6787Y-33757D01* -X6777Y-33753D01* -X6769Y-33750D01* -X6761Y-33747D01* -X6754Y-33744D01* -X6747Y-33742D01* -X6742Y-33740D01* -X6737Y-33738D01* -X6733Y-33736D01* -X6729Y-33735D01* -X6726Y-33734D01* -X6723Y-33733D01* -X6721Y-33733D01* -X6719Y-33732D01* -X6718Y-33732D01* -X6717Y-33732D01* -X6716Y-33732D01* -X6715Y-33732D01* -X6714Y-33733D01* -X6714Y-33733D01* -X6713Y-33733D01* -X6712Y-33734D01* -X6712Y-33734D01* -X6711Y-33734D01* -X6705Y-33736D01* -X6705Y-33837D01* -X6705Y-33937D01* -X6641Y-34121D01* -X6634Y-34141D01* -X6628Y-34160D01* -X6621Y-34178D01* -X6615Y-34196D01* -X6609Y-34212D01* -X6604Y-34228D01* -X6599Y-34242D01* -X6594Y-34256D01* -X6590Y-34268D01* -X6586Y-34278D01* -X6583Y-34287D01* -X6581Y-34295D01* -X6579Y-34300D01* -X6577Y-34304D01* -X6577Y-34306D01* -X6576Y-34306D01* -X6575Y-34305D01* -X6573Y-34304D01* -X6568Y-34301D01* -X6562Y-34297D01* -X6555Y-34292D01* -X6546Y-34287D01* -X6536Y-34280D01* -X6525Y-34273D01* -X6513Y-34264D01* -X6500Y-34256D01* -X6486Y-34246D01* -X6472Y-34237D01* -X6456Y-34226D01* -X6440Y-34216D01* -X6432Y-34210D01* -X6287Y-34113D01* -X6266Y-34120D01* -X6258Y-34123D01* -X6249Y-34125D01* -X6240Y-34128D01* -X6232Y-34131D01* -X6228Y-34132D01* -X6222Y-34133D01* -X6216Y-34135D01* -X6212Y-34136D01* -X6210Y-34137D01* -X6209Y-34137D01* -X6209Y-34138D01* -X6207Y-34141D01* -X6205Y-34146D01* -X6202Y-34153D01* -X6198Y-34161D01* -X6194Y-34171D01* -X6189Y-34183D01* -X6183Y-34196D01* -X6176Y-34210D01* -X6169Y-34226D01* -X6162Y-34243D01* -X6154Y-34261D01* -X6145Y-34280D01* -X6136Y-34301D01* -X6127Y-34322D01* -X6117Y-34344D01* -X6107Y-34366D01* -X6097Y-34390D01* -X6086Y-34414D01* -X6079Y-34428D01* -X6069Y-34453D01* -X6058Y-34476D01* -X6048Y-34499D01* -X6038Y-34522D01* -X6028Y-34543D01* -X6019Y-34564D01* -X6010Y-34584D01* -X6002Y-34603D01* -X5994Y-34620D01* -X5986Y-34637D01* -X5980Y-34652D01* -X5974Y-34666D01* -X5968Y-34678D01* -X5963Y-34689D01* -X5959Y-34699D01* -X5955Y-34706D01* -X5953Y-34712D01* -X5951Y-34716D01* -X5950Y-34719D01* -X5950Y-34719D01* -X5950Y-34718D01* -X5950Y-34715D01* -X5950Y-34709D01* -X5950Y-34702D01* -X5950Y-34693D01* -X5950Y-34683D01* -X5951Y-34671D01* -X5951Y-34657D01* -X5951Y-34642D01* -X5952Y-34626D01* -X5952Y-34609D01* -X5952Y-34591D01* -X5953Y-34572D01* -X5953Y-34553D01* -X5953Y-34532D01* -X5954Y-34512D01* -X5954Y-34491D01* -X5955Y-34469D01* -X5955Y-34448D01* -X5956Y-34426D01* -X5956Y-34405D01* -X5956Y-34383D01* -X5957Y-34362D01* -X5957Y-34342D01* -X5958Y-34322D01* -X5958Y-34303D01* -X5958Y-34284D01* -X5959Y-34266D01* -X5959Y-34250D01* -X5959Y-34234D01* -X5960Y-34220D01* -X5960Y-34207D01* -X5960Y-34196D01* -X5960Y-34186D01* -X5961Y-34178D01* -X5961Y-34171D01* -X5961Y-34150D01* -X5940Y-34146D01* -X5932Y-34145D01* -X5925Y-34144D01* -X5917Y-34143D01* -X5911Y-34142D01* -X5908Y-34141D01* -X5903Y-34140D01* -X5899Y-34140D01* -X5897Y-34139D01* -X5896Y-34139D01* -X5896Y-34140D01* -X5894Y-34143D01* -X5892Y-34148D01* -X5890Y-34155D01* -X5887Y-34164D01* -X5883Y-34174D01* -X5878Y-34186D01* -X5874Y-34200D01* -X5868Y-34214D01* -X5862Y-34231D01* -X5856Y-34248D01* -X5849Y-34267D01* -X5842Y-34287D01* -X5834Y-34308D01* -X5826Y-34330D01* -X5818Y-34353D01* -X5809Y-34377D01* -X5800Y-34401D01* -X5791Y-34426D01* -X5782Y-34451D01* -X5780Y-34456D01* -X5771Y-34481D01* -X5762Y-34506D01* -X5753Y-34531D01* -X5745Y-34554D01* -X5736Y-34577D01* -X5728Y-34599D01* -X5721Y-34620D01* -X5713Y-34640D01* -X5706Y-34659D01* -X5700Y-34677D01* -X5694Y-34694D01* -X5689Y-34709D01* -X5684Y-34722D01* -X5679Y-34734D01* -X5675Y-34745D01* -X5672Y-34754D01* -X5669Y-34761D01* -X5667Y-34766D01* -X5666Y-34769D01* -X5666Y-34770D01* -X5666Y-34769D01* -X5666Y-34766D01* -X5667Y-34760D01* -X5668Y-34753D01* -X5669Y-34744D01* -X5670Y-34733D01* -X5671Y-34720D01* -X5673Y-34706D01* -X5675Y-34690D01* -X5676Y-34673D01* -X5679Y-34655D01* -X5681Y-34635D01* -X5683Y-34614D01* -X5686Y-34592D01* -X5688Y-34569D01* -X5691Y-34545D01* -X5694Y-34521D01* -X5696Y-34496D01* -X5699Y-34470D01* -X5700Y-34460D01* -X5703Y-34434D01* -X5706Y-34408D01* -X5709Y-34384D01* -X5712Y-34360D01* -X5714Y-34336D01* -X5717Y-34314D01* -X5719Y-34293D01* -X5722Y-34273D01* -X5724Y-34254D01* -X5726Y-34236D01* -X5728Y-34220D01* -X5729Y-34205D01* -X5731Y-34192D01* -X5732Y-34181D01* -X5733Y-34171D01* -X5734Y-34163D01* -X5735Y-34157D01* -X5735Y-34153D01* -X5735Y-34151D01* -X5735Y-34151D01* -X5734Y-34151D01* -X5731Y-34153D01* -X5727Y-34155D01* -X5721Y-34159D01* -X5714Y-34164D01* -X5705Y-34169D01* -X5695Y-34175D01* -X5683Y-34182D01* -X5671Y-34189D01* -X5658Y-34197D01* -X5644Y-34206D01* -X5629Y-34215D01* -X5614Y-34224D01* -X5607Y-34229D01* -X5592Y-34238D01* -X5577Y-34247D01* -X5563Y-34256D01* -X5549Y-34264D01* -X5536Y-34272D01* -X5525Y-34279D01* -X5514Y-34285D01* -X5505Y-34291D01* -X5497Y-34296D01* -X5490Y-34300D01* -X5485Y-34303D01* -X5481Y-34305D01* -X5479Y-34306D01* -X5479Y-34306D01* -X5479Y-34305D01* -X5478Y-34302D01* -X5476Y-34297D01* -X5474Y-34290D01* -X5472Y-34282D01* -X5469Y-34272D01* -X5466Y-34261D01* -X5463Y-34248D01* -X5459Y-34235D01* -X5455Y-34220D01* -X5450Y-34205D01* -X5446Y-34189D01* -X5443Y-34179D01* -X5407Y-34051D01* -X5440Y-33953D01* -X5445Y-33939D01* -X5450Y-33925D01* -X5454Y-33913D01* -X5458Y-33901D01* -X5462Y-33890D01* -X5465Y-33880D01* -X5468Y-33872D01* -X5470Y-33865D01* -X5472Y-33860D01* -X5473Y-33856D01* -X5473Y-33855D01* -X5473Y-33855D01* -X5473Y-33854D01* -X5471Y-33851D01* -X5469Y-33847D01* -X5466Y-33842D01* -X5464Y-33839D01* -X5451Y-33818D01* -X5439Y-33797D01* -X5427Y-33774D01* -X5416Y-33752D01* -X5410Y-33738D01* -X5407Y-33731D01* -X5405Y-33726D01* -X5403Y-33723D01* -X5402Y-33721D01* -X5401Y-33721D01* -X5401Y-33721D01* -X5399Y-33722D01* -X5395Y-33724D01* -X5390Y-33727D01* -X5384Y-33730D01* -X5378Y-33734D01* -X5371Y-33738D01* -X5364Y-33742D01* -X5358Y-33746D01* -X5356Y-33748D01* -X5344Y-33756D01* -X5332Y-33764D01* -X5321Y-33772D01* -X5309Y-33781D01* -X5297Y-33791D01* -X5283Y-33803D01* -X5279Y-33806D01* -X5267Y-33816D01* -X5257Y-33823D01* -X5247Y-33829D01* -X5238Y-33833D01* -X5229Y-33836D01* -X5222Y-33836D01* -X5214Y-33835D01* -X5209Y-33833D01* -X5203Y-33828D01* -X5197Y-33822D01* -X5192Y-33813D01* -X5187Y-33803D01* -X5183Y-33790D01* -X5180Y-33776D01* -X5179Y-33763D01* -X5178Y-33756D01* -X5177Y-33748D01* -X5177Y-33738D01* -X5177Y-33727D01* -X5177Y-33716D01* -X5177Y-33705D01* -X5177Y-33695D01* -X5177Y-33686D01* -X5178Y-33679D01* -X5178Y-33678D01* -X5178Y-33676D01* -X5178Y-33673D01* -X5178Y-33669D01* -X5178Y-33663D01* -X5178Y-33656D01* -X5178Y-33648D01* -X5177Y-33638D01* -X5177Y-33626D01* -X5177Y-33613D01* -X5176Y-33599D01* -X5176Y-33582D01* -X5175Y-33564D01* -X5175Y-33544D01* -X5174Y-33522D01* -X5173Y-33497D01* -X5172Y-33471D01* -X5172Y-33454D01* -X5171Y-33442D01* -X3552Y-33442D01* -X3552Y-33442D01* -X3552Y-33441D01* -X3552Y-33439D01* -X3552Y-33436D01* -X3552Y-33432D01* -X3552Y-33428D01* -X3552Y-33422D01* -X3552Y-33416D01* -X3552Y-33408D01* -X3552Y-33399D01* -X3552Y-33390D01* -X3551Y-33379D01* -X3551Y-33367D01* -X3551Y-33354D01* -X3551Y-33340D01* -X3551Y-33324D01* -X3551Y-33307D01* -X3551Y-33289D01* -X3551Y-33269D01* -X3551Y-33249D01* -X3551Y-33226D01* -X3551Y-33202D01* -X3551Y-33177D01* -X3551Y-33150D01* -X3551Y-33122D01* -X3551Y-33092D01* -X3551Y-33061D01* -X3551Y-33028D01* -X3551Y-32993D01* -X3551Y-32956D01* -X3551Y-32918D01* -X3551Y-32878D01* -X3551Y-32836D01* -X3551Y-32792D01* -X3551Y-32747D01* -X3551Y-32699D01* -X3551Y-32650D01* -X3551Y-32598D01* -X3551Y-32545D01* -X3551Y-32489D01* -X3551Y-32431D01* -X3551Y-32372D01* -X3551Y-32310D01* -X3551Y-32246D01* -X3551Y-32205D01* -X3551Y-30968D01* -X4750Y-30968D01* -X4814Y-30968D01* -X4876Y-30968D01* -X4936Y-30968D01* -X4994Y-30968D01* -X5050Y-30968D01* -X5103Y-30968D01* -X5155Y-30968D01* -X5205Y-30968D01* -X5252Y-30968D01* -X5298Y-30968D01* -X5342Y-30968D01* -X5384Y-30968D01* -X5424Y-30968D01* -X5463Y-30968D01* -X5499Y-30968D01* -X5534Y-30968D01* -X5567Y-30968D01* -X5599Y-30968D01* -X5629Y-30968D01* -X5657Y-30968D01* -X5684Y-30968D01* -X5709Y-30969D01* -X5733Y-30969D01* -X5755Y-30969D01* -X5776Y-30969D01* -X5796Y-30969D01* -X5814Y-30969D01* -X5831Y-30969D01* -X5846Y-30969D01* -X5860Y-30969D01* -X5873Y-30969D01* -X5885Y-30969D01* -X5896Y-30969D01* -X5906Y-30969D01* -X5914Y-30969D01* -X5922Y-30969D01* -X5928Y-30969D01* -X5933Y-30969D01* -X5938Y-30969D01* -X5942Y-30969D01* -X5944Y-30969D01* -X5946Y-30970D01* -X5947Y-30970D01* -X5947Y-30970D01* -X5947Y-30971D01* -X5945Y-30974D01* -X5942Y-30978D01* -X5938Y-30984D01* -X5933Y-30991D01* -X5927Y-30999D01* -X5921Y-31009D01* -X5914Y-31019D01* -X5907Y-31030D01* -X5899Y-31042D01* -X5891Y-31054D01* -X5835Y-31137D01* -X5774Y-31055D01* -X5765Y-31043D01* -X5756Y-31031D01* -X5748Y-31021D01* -X5740Y-31011D01* -X5733Y-31002D01* -X5727Y-30993D01* -X5722Y-30987D01* -X5717Y-30981D01* -X5714Y-30977D01* -X5712Y-30974D01* -X5711Y-30973D01* -X5711Y-30973D01* -X5709Y-30974D01* -X5707Y-30976D01* -X5704Y-30979D01* -X5701Y-30983D01* -X5698Y-30986D01* -X5696Y-30989D01* -X5696Y-30990D01* -X5695Y-30992D01* -X5695Y-30995D01* -X5694Y-31001D01* -X5692Y-31008D01* -X5691Y-31018D01* -X5688Y-31029D01* -X5686Y-31042D01* -X5683Y-31056D01* -X5680Y-31072D01* -X5677Y-31089D01* -X5674Y-31108D01* -X5670Y-31127D01* -X5666Y-31148D01* -X5662Y-31170D01* -X5658Y-31193D01* -X5654Y-31216D01* -X5649Y-31241D01* -X5644Y-31266D01* -X5640Y-31292D01* -X5638Y-31301D01* -X5633Y-31327D01* -X5629Y-31352D01* -X5624Y-31377D01* -X5620Y-31401D01* -X5615Y-31424D01* -X5611Y-31446D01* -X5607Y-31467D01* -X5603Y-31487D01* -X5600Y-31506D01* -X5597Y-31524D01* -X5593Y-31541D01* -X5591Y-31555D01* -X5588Y-31569D01* -X5586Y-31581D01* -X5584Y-31591D01* -X5582Y-31599D01* -X5581Y-31605D01* -X5580Y-31609D01* -X5580Y-31612D01* -X5580Y-31612D01* -X5576Y-31619D01* -X5572Y-31626D01* -X5565Y-31632D01* -X5564Y-31633D01* -X5564Y-31634D01* -X5563Y-31634D01* -X5562Y-31635D01* -X5560Y-31636D01* -X5558Y-31637D01* -X5556Y-31638D01* -X5553Y-31639D01* -X5550Y-31641D01* -X5546Y-31643D01* -X5541Y-31645D01* -X5536Y-31648D01* -X5529Y-31651D01* -X5521Y-31654D01* -X5513Y-31658D01* -X5503Y-31662D01* -X5492Y-31667D01* -X5479Y-31672D01* -X5465Y-31678D01* -X5450Y-31685D01* -X5433Y-31692D01* -X5414Y-31700D01* -X5393Y-31709D01* -X5371Y-31719D01* -X5347Y-31729D01* -X5332Y-31736D01* -X5105Y-31833D01* -X5091Y-31833D01* -X5086Y-31833D01* -X5081Y-31833D01* -X5078Y-31832D01* -X5076Y-31831D01* -X5073Y-31830D01* -X5071Y-31829D01* -X5070Y-31828D01* -X5067Y-31826D01* -X5062Y-31823D01* -X5055Y-31819D01* -X5047Y-31813D01* -X5037Y-31807D01* -X5027Y-31799D01* -X5014Y-31791D01* -X5001Y-31782D01* -X4986Y-31772D01* -X4971Y-31761D01* -X4954Y-31750D01* -X4936Y-31738D01* -X4918Y-31725D01* -X4898Y-31712D01* -X4878Y-31698D01* -X4858Y-31684D01* -X4837Y-31669D01* -X4815Y-31655D01* -X4806Y-31648D01* -X4784Y-31633D01* -X4763Y-31619D01* -X4742Y-31604D01* -X4722Y-31591D01* -X4702Y-31577D01* -X4683Y-31564D01* -X4665Y-31552D01* -X4648Y-31540D01* -X4632Y-31529D01* -X4617Y-31519D01* -X4603Y-31510D01* -X4590Y-31501D01* -X4579Y-31493D01* -X4569Y-31486D01* -X4560Y-31480D01* -X4553Y-31475D01* -X4548Y-31472D01* -X4544Y-31469D01* -X4541Y-31468D01* -X4541Y-31467D01* -X4538Y-31466D01* -X4535Y-31465D01* -X4531Y-31465D01* -X4527Y-31465D01* -X4527Y-31465D01* -X4523Y-31465D01* -X4521Y-31465D01* -X4518Y-31466D01* -X4515Y-31467D01* -X4513Y-31468D01* -X4510Y-31470D01* -X4506Y-31472D01* -X4502Y-31476D01* -X4498Y-31480D01* -X4492Y-31485D01* -X4485Y-31492D01* -X4477Y-31500D01* -X4468Y-31509D01* -X4466Y-31511D01* -X4458Y-31519D01* -X4451Y-31527D01* -X4444Y-31533D01* -X4438Y-31539D01* -X4433Y-31544D01* -X4429Y-31548D01* -X4426Y-31551D01* -X4425Y-31553D01* -X4425Y-31553D01* -X4425Y-31554D01* -X4427Y-31557D01* -X4429Y-31561D01* -X4433Y-31567D01* -X4437Y-31575D01* -X4442Y-31584D01* -X4447Y-31594D01* -X4453Y-31605D01* -X4460Y-31617D01* -X4467Y-31629D01* -X4474Y-31643D01* -X4479Y-31651D01* -X4486Y-31665D01* -X4494Y-31678D01* -X4501Y-31690D01* -X4507Y-31702D01* -X4513Y-31712D01* -X4518Y-31722D01* -X4523Y-31730D01* -X4526Y-31737D01* -X4529Y-31742D01* -X4531Y-31746D01* -X4532Y-31748D01* -X4533Y-31748D01* -X4532Y-31748D01* -X4529Y-31746D01* -X4525Y-31743D01* -X4519Y-31739D01* -X4513Y-31734D01* -X4505Y-31729D01* -X4496Y-31723D01* -X4486Y-31716D01* -X4476Y-31709D01* -X4466Y-31701D01* -X4455Y-31694D01* -X4443Y-31686D01* -X4432Y-31678D01* -X4421Y-31670D01* -X4410Y-31663D01* -X4400Y-31655D01* -X4390Y-31648D01* -X4381Y-31642D01* -X4373Y-31636D01* -X4365Y-31631D01* -X4361Y-31628D01* -X4354Y-31623D01* -X4302Y-31675D01* -X4290Y-31687D01* -X4280Y-31697D01* -X4272Y-31705D01* -X4265Y-31712D01* -X4259Y-31718D01* -X4255Y-31723D01* -X4252Y-31726D01* -X4250Y-31728D01* -X4250Y-31730D01* -X4250Y-31730D01* -X4250Y-31731D01* -X4252Y-31734D01* -X4254Y-31738D01* -X4258Y-31745D01* -X4262Y-31752D01* -X4267Y-31762D01* -X4273Y-31772D01* -X4279Y-31784D01* -X4286Y-31796D01* -X4293Y-31810D01* -X4301Y-31824D01* -X4309Y-31840D01* -X4317Y-31855D01* -X4326Y-31872D01* -X4335Y-31888D01* -X4344Y-31905D01* -X4353Y-31922D01* -X4363Y-31939D01* -X4372Y-31956D01* -X4381Y-31972D01* -X4390Y-31989D01* -X4398Y-32005D01* -X4407Y-32020D01* -X4414Y-32034D01* -X4422Y-32048D01* -X4429Y-32061D01* -X4436Y-32073D01* -X4441Y-32084D01* -X4447Y-32094D01* -X4451Y-32102D01* -X4455Y-32109D01* -X4458Y-32114D01* -X4460Y-32117D01* -X4460Y-32119D01* -X4460Y-32119D01* -X4458Y-32117D01* -X4455Y-32114D01* -X4450Y-32110D01* -X4446Y-32106D01* -X4445Y-32105D01* -X4434Y-32095D01* -X4422Y-32085D01* -X4409Y-32073D01* -X4396Y-32061D01* -X4382Y-32049D01* -X4368Y-32036D01* -X4353Y-32023D01* -X4339Y-32010D01* -X4324Y-31997D01* -X4309Y-31984D01* -X4294Y-31970D01* -X4279Y-31957D01* -X4265Y-31945D01* -X4251Y-31932D01* -X4237Y-31920D01* -X4224Y-31908D01* -X4212Y-31897D01* -X4200Y-31887D01* -X4189Y-31877D01* -X4179Y-31868D01* -X4170Y-31860D01* -X4162Y-31853D01* -X4156Y-31847D01* -X4150Y-31842D01* -X4146Y-31839D01* -X4144Y-31837D01* -X4143Y-31836D01* -X4143Y-31836D01* -X4142Y-31836D01* -X4139Y-31839D01* -X4136Y-31842D01* -X4131Y-31846D01* -X4126Y-31851D01* -X4120Y-31857D01* -X4114Y-31863D01* -X4108Y-31869D01* -X4102Y-31875D01* -X4097Y-31880D01* -X4092Y-31886D01* -X4088Y-31890D01* -X4085Y-31894D01* -X4083Y-31896D01* -X4082Y-31897D01* -X4083Y-31898D01* -X4085Y-31901D01* -X4088Y-31905D01* -X4092Y-31911D01* -X4098Y-31918D01* -X4104Y-31926D01* -X4111Y-31935D01* -X4119Y-31946D01* -X4127Y-31957D01* -X4137Y-31968D01* -X4146Y-31981D01* -X4156Y-31994D01* -X4158Y-31996D01* -X4168Y-32009D01* -X4177Y-32021D01* -X4186Y-32033D01* -X4195Y-32044D01* -X4203Y-32054D01* -X4210Y-32064D01* -X4216Y-32072D01* -X4222Y-32079D01* -X4226Y-32085D01* -X4229Y-32089D01* -X4231Y-32092D01* -X4232Y-32093D01* -X4232Y-32093D01* -X4231Y-32093D01* -X4228Y-32093D01* -X4223Y-32093D01* -X4217Y-32092D01* -X4210Y-32091D01* -X4202Y-32090D01* -X4193Y-32089D01* -X4184Y-32088D01* -X4175Y-32087D01* -X4167Y-32086D01* -X4159Y-32085D01* -X4152Y-32084D01* -X4146Y-32083D01* -X4141Y-32082D01* -X4138Y-32082D01* -X4137Y-32082D01* -X4136Y-32082D01* -X4135Y-32083D01* -X4136Y-32084D01* -X4138Y-32086D01* -X4141Y-32091D01* -X4145Y-32097D01* -X4150Y-32104D01* -X4156Y-32113D01* -X4163Y-32124D01* -X4171Y-32135D01* -X4180Y-32148D01* -X4189Y-32162D01* -X4199Y-32177D01* -X4210Y-32192D01* -X4222Y-32209D01* -X4234Y-32226D01* -X4246Y-32244D01* -X4259Y-32263D01* -X4272Y-32282D01* -X4276Y-32287D01* -X4289Y-32307D01* -X4302Y-32326D01* -X4315Y-32344D01* -X4327Y-32362D01* -X4339Y-32378D01* -X4350Y-32395D01* -X4360Y-32410D01* -X4370Y-32424D01* -X4379Y-32438D01* -X4387Y-32450D01* -X4395Y-32461D01* -X4401Y-32470D01* -X4407Y-32479D01* -X4412Y-32485D01* -X4415Y-32491D01* -X4418Y-32494D01* -X4419Y-32496D01* -X4419Y-32496D01* -X4422Y-32505D01* -X4423Y-32514D01* -X4422Y-32524D01* -X4420Y-32535D01* -X4419Y-32539D01* -X4416Y-32545D01* -X4413Y-32553D01* -X4409Y-32563D01* -X4404Y-32574D01* -X4399Y-32587D01* -X4394Y-32600D01* -X4388Y-32615D01* -X4381Y-32630D01* -X4375Y-32646D01* -X4368Y-32663D01* -X4361Y-32681D01* -X4353Y-32699D01* -X4346Y-32717D01* -X4338Y-32735D01* -X4331Y-32754D01* -X4323Y-32772D01* -X4316Y-32791D01* -X4308Y-32809D01* -X4301Y-32826D01* -X4294Y-32844D01* -X4287Y-32860D01* -X4281Y-32876D01* -X4274Y-32891D01* -X4269Y-32905D01* -X4263Y-32918D01* -X4259Y-32930D01* -X4254Y-32941D01* -X4251Y-32950D01* -X4247Y-32957D01* -X4245Y-32963D01* -X4243Y-32967D01* -X4242Y-32969D01* -X4242Y-32969D01* -X4239Y-32974D01* -X4235Y-32979D01* -X4230Y-32983D01* -X4225Y-32987D01* -X4220Y-32990D01* -X4220Y-32991D01* -X4219Y-32991D01* -X4218Y-32991D01* -X4216Y-32992D01* -X4213Y-32993D01* -X4210Y-32993D01* -X4206Y-32994D01* -X4202Y-32995D01* -X4196Y-32996D01* -X4189Y-32998D01* -X4181Y-32999D01* -X4172Y-33001D01* -X4162Y-33003D01* -X4151Y-33005D01* -X4138Y-33007D01* -X4124Y-33010D01* -X4108Y-33013D01* -X4091Y-33016D01* -X4072Y-33020D01* -X4051Y-33024D01* -X4029Y-33028D01* -X4004Y-33033D01* -X3978Y-33038D01* -X3949Y-33043D01* -X3921Y-33048D01* -X3896Y-33053D01* -X3871Y-33057D01* -X3847Y-33062D01* -X3824Y-33066D01* -X3801Y-33070D01* -X3780Y-33074D01* -X3759Y-33078D01* -X3740Y-33082D01* -X3722Y-33085D01* -X3705Y-33088D01* -X3690Y-33091D01* -X3676Y-33094D01* -X3664Y-33096D01* -X3653Y-33098D01* -X3644Y-33100D01* -X3637Y-33101D01* -X3632Y-33102D01* -X3628Y-33103D01* -X3627Y-33103D01* -X3628Y-33104D01* -X3631Y-33106D01* -X3635Y-33109D01* -X3640Y-33113D01* -X3647Y-33117D01* -X3655Y-33123D01* -X3663Y-33129D01* -X3673Y-33136D01* -X3683Y-33143D01* -X3694Y-33150D01* -X3705Y-33158D01* -X3717Y-33166D01* -X3728Y-33173D01* -X3740Y-33181D01* -X3751Y-33189D01* -X3752Y-33189D01* -X3779Y-33207D01* -X3808Y-33226D01* -X3837Y-33245D01* -X3867Y-33265D01* -X3898Y-33284D01* -X3929Y-33304D01* -X3959Y-33323D01* -X3988Y-33341D01* -X3990Y-33343D01* -X3996Y-33346D01* -X4000Y-33349D01* -X4002Y-33351D01* -X4003Y-33352D01* -X4003Y-33352D01* -X4001Y-33353D01* -X3998Y-33353D01* -X3993Y-33355D01* -X3985Y-33356D01* -X3976Y-33358D01* -X3966Y-33360D01* -X3953Y-33362D01* -X3940Y-33365D01* -X3925Y-33368D01* -X3908Y-33371D01* -X3891Y-33375D01* -X3872Y-33379D01* -X3853Y-33382D01* -X3833Y-33386D01* -X3811Y-33391D01* -X3790Y-33395D01* -X3777Y-33397D01* -X3755Y-33402D01* -X3734Y-33406D01* -X3713Y-33410D01* -X3693Y-33414D01* -X3674Y-33418D01* -X3656Y-33421D01* -X3639Y-33425D01* -X3623Y-33428D01* -X3609Y-33431D01* -X3596Y-33433D01* -X3584Y-33436D01* -X3574Y-33438D01* -X3566Y-33439D01* -X3560Y-33441D01* -X3555Y-33442D01* -X3553Y-33442D01* -X3552Y-33442D01* -X5171Y-33442D01* -X5171Y-33432D01* -X5171Y-33411D01* -X5170Y-33391D01* -X5169Y-33371D01* -X5169Y-33353D01* -X5168Y-33335D01* -X5168Y-33318D01* -X5167Y-33303D01* -X5167Y-33289D01* -X5166Y-33277D01* -X5166Y-33266D01* -X5166Y-33257D01* -X5165Y-33250D01* -X5165Y-33244D01* -X5165Y-33241D01* -X5165Y-33240D01* -X5165Y-33240D01* -X5166Y-33240D01* -X5170Y-33240D01* -X5175Y-33241D01* -X5181Y-33243D01* -X5190Y-33244D01* -X5199Y-33246D01* -X5211Y-33249D01* -X5223Y-33251D01* -X5236Y-33254D01* -X5250Y-33257D01* -X5265Y-33260D01* -X5269Y-33261D01* -X5284Y-33264D01* -X5298Y-33267D01* -X5312Y-33270D01* -X5324Y-33273D01* -X5336Y-33275D01* -X5346Y-33277D01* -X5355Y-33279D01* -X5362Y-33281D01* -X5368Y-33282D01* -X5372Y-33283D01* -X5373Y-33283D01* -X5373Y-33283D01* -X5374Y-33282D01* -X5375Y-33279D01* -X5377Y-33275D01* -X5378Y-33270D01* -X5379Y-33266D01* -X5386Y-33244D01* -X5395Y-33220D01* -X5404Y-33197D01* -X5413Y-33174D01* -X5421Y-33158D01* -X5430Y-33140D01* -X5423Y-33134D01* -X5421Y-33132D01* -X5418Y-33129D01* -X5413Y-33124D01* -X5407Y-33119D01* -X5400Y-33113D01* -X5393Y-33106D01* -X5385Y-33098D01* -X5377Y-33090D01* -X5376Y-33090D01* -X5336Y-33052D01* -X5265Y-32895D01* -X5256Y-32876D01* -X5247Y-32857D01* -X5239Y-32839D01* -X5232Y-32823D01* -X5225Y-32808D01* -X5219Y-32794D01* -X5213Y-32781D01* -X5208Y-32770D01* -X5204Y-32760D01* -X5200Y-32752D01* -X5198Y-32746D01* -X5196Y-32741D01* -X5195Y-32738D01* -X5194Y-32738D01* -X5195Y-32737D01* -X5198Y-32735D01* -X5203Y-32732D01* -X5209Y-32728D01* -X5217Y-32723D01* -X5226Y-32717D01* -X5236Y-32711D01* -X5248Y-32703D01* -X5260Y-32695D01* -X5274Y-32686D01* -X5289Y-32677D01* -X5305Y-32667D01* -X5321Y-32656D01* -X5338Y-32645D01* -X5356Y-32634D01* -X5372Y-32624D01* -X5394Y-32610D01* -X5414Y-32597D01* -X5432Y-32585D01* -X5449Y-32575D01* -X5464Y-32565D01* -X5478Y-32557D01* -X5490Y-32549D01* -X5500Y-32542D01* -X5510Y-32536D01* -X5518Y-32531D01* -X5525Y-32527D01* -X5531Y-32523D01* -X5536Y-32520D01* -X5541Y-32517D01* -X5544Y-32515D01* -X5547Y-32514D01* -X5549Y-32513D01* -X5550Y-32512D01* -X5551Y-32512D01* -X5551Y-32512D01* -X5552Y-32513D01* -X5555Y-32515D01* -X5559Y-32519D01* -X5565Y-32523D01* -X5572Y-32529D01* -X5580Y-32536D01* -X5590Y-32544D01* -X5600Y-32553D01* -X5612Y-32563D01* -X5625Y-32573D01* -X5638Y-32584D01* -X5653Y-32596D01* -X5668Y-32609D01* -X5683Y-32622D01* -X5699Y-32635D01* -X5714Y-32648D01* -X5731Y-32661D01* -X5747Y-32675D01* -X5762Y-32688D01* -X5777Y-32700D01* -X5791Y-32712D01* -X5805Y-32723D01* -X5817Y-32734D01* -X5829Y-32743D01* -X5840Y-32752D01* -X5849Y-32760D01* -X5857Y-32767D01* -X5864Y-32773D01* -X5870Y-32777D01* -X5874Y-32781D01* -X5876Y-32783D01* -X5877Y-32783D01* -X5879Y-32784D01* -X5880Y-32784D01* -X5883Y-32784D01* -X5887Y-32783D01* -X5893Y-32782D01* -X5895Y-32781D01* -X5917Y-32777D01* -X5938Y-32773D01* -X5957Y-32770D01* -X5976Y-32768D01* -X5977Y-32768D01* -X5983Y-32767D01* -X5987Y-32766D01* -X5989Y-32766D01* -X5990Y-32765D01* -X5991Y-32764D01* -X5991Y-32763D01* -X5991Y-32760D01* -X5992Y-32754D01* -X5993Y-32747D01* -X5994Y-32739D01* -X5995Y-32729D01* -X5996Y-32718D01* -X5998Y-32706D01* -X5999Y-32693D01* -X6001Y-32679D01* -X6003Y-32665D01* -X6004Y-32651D01* -X6006Y-32636D01* -X6008Y-32622D01* -X6010Y-32607D01* -X6012Y-32593D01* -X6013Y-32580D01* -X6015Y-32567D01* -X6016Y-32555D01* -X6018Y-32544D01* -X6019Y-32535D01* -X6020Y-32526D01* -X6021Y-32520D01* -X6021Y-32515D01* -X6022Y-32511D01* -X6022Y-32510D01* -X6023Y-32510D01* -X6026Y-32509D01* -X6031Y-32508D01* -X6038Y-32506D01* -X6047Y-32504D01* -X6057Y-32502D01* -X6069Y-32499D01* -X6082Y-32496D01* -X6097Y-32492D01* -X6112Y-32488D01* -X6129Y-32484D01* -X6146Y-32480D01* -X6164Y-32476D01* -X6183Y-32471D01* -X6198Y-32468D01* -X6217Y-32463D01* -X6236Y-32458D01* -X6254Y-32454D01* -X6271Y-32450D01* -X6288Y-32446D01* -X6303Y-32442D01* -X6317Y-32439D01* -X6330Y-32436D01* -X6342Y-32433D01* -X6352Y-32430D01* -X6360Y-32428D01* -X6367Y-32427D01* -X6372Y-32426D01* -X6375Y-32425D01* -X6375Y-32425D01* -X6377Y-32425D01* -X6377Y-32425D01* -X6377Y-32427D01* -X6377Y-32430D01* -X6377Y-32432D01* -X6376Y-32436D01* -X6375Y-32442D01* -X6374Y-32449D01* -X6373Y-32458D01* -X6372Y-32468D01* -X6371Y-32479D01* -X6369Y-32490D01* -X6369Y-32496D01* -X6366Y-32515D01* -X6363Y-32535D01* -X6361Y-32556D01* -X6358Y-32576D01* -X6356Y-32597D01* -X6353Y-32617D01* -X6351Y-32637D01* -X6349Y-32657D01* -X6346Y-32676D01* -X6344Y-32694D01* -X6342Y-32712D01* -X6340Y-32729D01* -X6338Y-32745D01* -X6337Y-32760D01* -X6335Y-32774D01* -X6334Y-32786D01* -X6333Y-32797D01* -X6332Y-32806D01* -X6332Y-32813D01* -X6331Y-32819D01* -X6331Y-32823D01* -X6331Y-32825D01* -X6331Y-32825D01* -X6332Y-32825D01* -X6335Y-32827D01* -X6340Y-32829D01* -X6345Y-32831D01* -X6346Y-32832D01* -X6379Y-32849D01* -X6412Y-32868D01* -X6444Y-32889D01* -X6475Y-32911D01* -X6503Y-32935D01* -X6531Y-32961D01* -X6542Y-32972D01* -X6546Y-32976D01* -X6550Y-32979D01* -X6552Y-32981D01* -X6554Y-32982D01* -X6554Y-32982D01* -X6555Y-32982D01* -X6559Y-32980D01* -X6565Y-32978D01* -X6571Y-32975D01* -X6580Y-32971D01* -X6589Y-32967D01* -X6600Y-32962D01* -X6611Y-32957D01* -X6623Y-32951D01* -X6636Y-32945D01* -X6649Y-32938D01* -X6663Y-32932D01* -X6676Y-32925D01* -X6683Y-32922D01* -X6692Y-32917D01* -X6701Y-32912D01* -X6709Y-32908D01* -X6717Y-32905D01* -X6723Y-32902D01* -X6727Y-32900D01* -X6730Y-32898D01* -X6731Y-32898D01* -X6731Y-32899D01* -X6730Y-32902D01* -X6727Y-32906D01* -X6724Y-32912D01* -X6720Y-32920D01* -X6716Y-32929D01* -X6711Y-32939D01* -X6705Y-32950D01* -X6699Y-32962D01* -X6693Y-32975D01* -X6686Y-32989D01* -X6684Y-32994D01* -X6636Y-33089D01* -X6644Y-33099D01* -X6648Y-33104D01* -X6651Y-33108D01* -X6654Y-33112D01* -X6657Y-33116D01* -X6660Y-33121D01* -X6663Y-33127D01* -X6668Y-33134D01* -X6673Y-33142D01* -X6676Y-33148D01* -X6680Y-33155D01* -X6684Y-33161D01* -X6688Y-33167D01* -X6691Y-33172D01* -X6693Y-33176D01* -X6694Y-33177D01* -X6698Y-33181D01* -X6702Y-33184D01* -X6705Y-33185D01* -X6709Y-33187D01* -X6712Y-33188D01* -X6716Y-33188D01* -X6719Y-33188D01* -X6728Y-33188D01* -X7250Y-32972D01* -X7283Y-32958D01* -X7316Y-32945D01* -X7348Y-32931D01* -X7379Y-32918D01* -X7409Y-32906D01* -X7439Y-32893D01* -X7469Y-32881D01* -X7497Y-32870D01* -X7524Y-32858D01* -X7551Y-32847D01* -X7576Y-32837D01* -X7600Y-32827D01* -X7623Y-32817D01* -X7645Y-32808D01* -X7666Y-32799D01* -X7685Y-32791D01* -X7703Y-32784D01* -X7719Y-32777D01* -X7734Y-32771D01* -X7747Y-32766D01* -X7759Y-32761D01* -X7768Y-32757D01* -X7776Y-32754D01* -X7782Y-32751D01* -X7787Y-32749D01* -X7789Y-32749D01* -X7789Y-32748D01* -X7797Y-32745D01* -X7804Y-32741D01* -X7809Y-32737D01* -X7812Y-32733D01* -X7814Y-32729D01* -X7815Y-32723D01* -X7815Y-32718D01* -X7814Y-32717D01* -X7814Y-32716D01* -X7814Y-32714D01* -X7813Y-32713D01* -X7812Y-32711D01* -X7811Y-32708D01* -X7810Y-32705D01* -X7808Y-32700D01* -X7805Y-32696D01* -X7802Y-32690D01* -X7799Y-32682D01* -X7794Y-32674D01* -X7789Y-32665D01* -X7783Y-32653D01* -X7776Y-32641D01* -X7769Y-32626D01* -X7760Y-32610D01* -X7756Y-32602D01* -X7748Y-32588D01* -X7741Y-32574D01* -X7734Y-32560D01* -X7727Y-32547D01* -X7720Y-32535D01* -X7715Y-32525D01* -X7710Y-32515D01* -X7705Y-32507D01* -X7702Y-32500D01* -X7699Y-32494D01* -X7697Y-32490D01* -X7696Y-32488D01* -X7696Y-32488D01* -X7694Y-32482D01* -X7693Y-32474D01* -X7693Y-32466D01* -X7695Y-32460D01* -X7695Y-32459D01* -X7695Y-32459D01* -X7695Y-32458D01* -X7695Y-32458D01* -X7695Y-32457D01* -X7695Y-32457D01* -X7695Y-32456D01* -X7696Y-32455D01* -X7696Y-32454D01* -X7697Y-32452D01* -X7699Y-32450D01* -X7700Y-32448D01* -X7702Y-32445D01* -X7705Y-32441D01* -X7708Y-32437D01* -X7711Y-32432D01* -X7715Y-32426D01* -X7720Y-32419D01* -X7725Y-32411D01* -X7731Y-32403D01* -X7738Y-32393D01* -X7745Y-32382D01* -X7753Y-32370D01* -X7763Y-32357D01* -X7773Y-32342D01* -X7784Y-32326D01* -X7796Y-32308D01* -X7809Y-32289D01* -X7823Y-32268D01* -X7839Y-32246D01* -X7855Y-32222D01* -X7873Y-32197D01* -X7890Y-32172D01* -X7906Y-32148D01* -X7921Y-32126D01* -X7936Y-32105D01* -X7950Y-32084D01* -X7963Y-32066D01* -X7975Y-32048D01* -X7986Y-32032D01* -X7996Y-32017D01* -X8005Y-32004D01* -X8013Y-31992D01* -X8020Y-31982D01* -X8025Y-31973D01* -X8030Y-31966D01* -X8033Y-31961D01* -X8036Y-31957D01* -X8037Y-31956D01* -X8037Y-31956D01* -X8038Y-31951D01* -X8038Y-31944D01* -X8038Y-31942D01* -X8038Y-31938D01* -X8037Y-31934D01* -X8036Y-31930D01* -X8034Y-31926D01* -X8031Y-31922D01* -X8027Y-31918D01* -X8022Y-31912D01* -X8016Y-31906D01* -X8012Y-31902D01* -X7993Y-31882D01* -X7800Y-31959D01* -X7608Y-32037D01* -X7613Y-32031D01* -X7619Y-32023D01* -X7627Y-32014D01* -X7635Y-32004D01* -X7644Y-31993D01* -X7654Y-31982D01* -X7664Y-31969D01* -X7674Y-31957D01* -X7686Y-31943D01* -X7697Y-31930D01* -X7708Y-31916D01* -X7720Y-31902D01* -X7732Y-31888D01* -X7743Y-31874D01* -X7755Y-31860D01* -X7766Y-31846D01* -X7777Y-31833D01* -X7788Y-31820D01* -X7798Y-31808D01* -X7807Y-31797D01* -X7816Y-31786D01* -X7824Y-31776D01* -X7831Y-31767D01* -X7837Y-31760D01* -X7843Y-31753D01* -X7847Y-31748D01* -X7850Y-31745D01* -X7851Y-31743D01* -X7852Y-31742D01* -X7851Y-31741D01* -X7849Y-31739D01* -X7845Y-31735D01* -X7840Y-31730D01* -X7835Y-31725D01* -X7828Y-31718D01* -X7821Y-31711D01* -X7813Y-31703D01* -X7809Y-31699D01* -X7767Y-31657D01* -X7630Y-31690D01* -X7613Y-31694D01* -X7597Y-31698D01* -X7581Y-31702D01* -X7566Y-31705D01* -X7553Y-31708D01* -X7540Y-31712D01* -X7528Y-31714D01* -X7518Y-31717D01* -X7510Y-31719D01* -X7503Y-31720D01* -X7498Y-31722D01* -X7495Y-31722D01* -X7493Y-31723D01* -X7494Y-31722D01* -X7495Y-31719D01* -X7498Y-31714D01* -X7501Y-31708D01* -X7505Y-31701D01* -X7510Y-31692D01* -X7516Y-31682D01* -X7522Y-31671D01* -X7528Y-31658D01* -X7536Y-31645D01* -X7543Y-31632D01* -X7551Y-31617D01* -X7553Y-31613D01* -X7614Y-31503D01* -X7598Y-31487D01* -X7591Y-31480D01* -X7585Y-31475D01* -X7580Y-31471D01* -X7576Y-31469D01* -X7572Y-31467D01* -X7567Y-31466D01* -X7563Y-31465D01* -X7561Y-31465D01* -X7555Y-31465D01* -X7550Y-31465D01* -X7547Y-31466D01* -X7546Y-31467D01* -X7542Y-31469D01* -X7537Y-31473D01* -X7531Y-31477D01* -X7523Y-31482D01* -X7513Y-31489D01* -X7502Y-31496D01* -X7489Y-31505D01* -X7475Y-31514D01* -X7460Y-31525D01* -X7444Y-31536D01* -X7426Y-31548D01* -X7408Y-31561D01* -X7388Y-31574D01* -X7367Y-31588D01* -X7346Y-31603D01* -X7323Y-31618D01* -X7300Y-31634D01* -X7293Y-31639D01* -X7271Y-31654D01* -X7251Y-31668D01* -X7230Y-31682D01* -X7210Y-31696D01* -X7191Y-31709D01* -X7173Y-31722D01* -X7155Y-31734D01* -X7139Y-31745D01* -X7123Y-31756D01* -X7109Y-31766D01* -X7095Y-31775D01* -X7083Y-31783D01* -X7072Y-31790D01* -X7062Y-31797D01* -X7054Y-31802D01* -X7048Y-31807D01* -X7043Y-31810D01* -X7040Y-31812D01* -X7038Y-31813D01* -X7038Y-31813D01* -X7035Y-31814D01* -X7032Y-31815D01* -X7029Y-31816D01* -X7025Y-31816D01* -X7020Y-31816D01* -X7019Y-31816D01* -X7006Y-31816D01* -X6767Y-31720D01* -X6743Y-31711D01* -X6720Y-31701D01* -X6698Y-31693D01* -X6677Y-31684D01* -X6657Y-31676D01* -X6638Y-31668D01* -X6620Y-31661D01* -X6603Y-31654D01* -X6588Y-31648D01* -X6574Y-31642D01* -X6561Y-31637D01* -X6550Y-31633D01* -X6541Y-31629D01* -X6534Y-31626D01* -X6528Y-31624D01* -X6524Y-31622D01* -X6522Y-31621D01* -X6522Y-31621D01* -X6519Y-31618D01* -X6515Y-31615D01* -X6513Y-31612D01* -X6512Y-31611D01* -X6511Y-31610D01* -X6510Y-31610D01* -X6510Y-31609D01* -X6509Y-31608D01* -X6508Y-31606D01* -X6507Y-31605D01* -X6507Y-31603D01* -X6506Y-31601D01* -X6505Y-31598D01* -X6504Y-31595D01* -X6503Y-31591D01* -X6502Y-31586D01* -X6502Y-31581D01* -X6500Y-31574D01* -X6499Y-31567D01* -X6497Y-31559D01* -X6495Y-31549D01* -X6493Y-31538D01* -X6491Y-31526D01* -X6488Y-31513D01* -X6486Y-31498D01* -X6482Y-31482D01* -X6479Y-31464D01* -X6475Y-31444D01* -X6475Y-31443D01* -X5995Y-31443D01* -X5996Y-31442D01* -X5997Y-31439D01* -X5998Y-31434D01* -X6000Y-31427D01* -X6002Y-31418D01* -X6005Y-31407D01* -X6008Y-31395D01* -X6011Y-31382D01* -X6015Y-31367D01* -X6019Y-31351D01* -X6024Y-31333D01* -X6028Y-31315D01* -X6033Y-31296D01* -X6039Y-31275D01* -X6044Y-31254D01* -X6050Y-31233D01* -X6056Y-31210D01* -X6057Y-31206D01* -X6062Y-31183D01* -X6068Y-31161D01* -X6074Y-31140D01* -X6079Y-31120D01* -X6084Y-31100D01* -X6089Y-31081D01* -X6093Y-31064D01* -X6098Y-31047D01* -X6102Y-31032D01* -X6105Y-31019D01* -X6108Y-31006D01* -X6111Y-30996D01* -X6113Y-30986D01* -X6115Y-30979D01* -X6117Y-30974D01* -X6118Y-30970D01* -X6118Y-30969D01* -X6118Y-30969D01* -X6119Y-30968D01* -X6122Y-30968D01* -X6127Y-30968D01* -X6133Y-30968D01* -X6141Y-30968D01* -X6150Y-30968D01* -X6160Y-30968D01* -X6165Y-30968D01* -X6212Y-30968D01* -X6210Y-30971D01* -X6210Y-30973D01* -X6208Y-30976D01* -X6206Y-30981D01* -X6203Y-30988D01* -X6199Y-30996D01* -X6195Y-31007D01* -X6189Y-31018D01* -X6183Y-31031D01* -X6177Y-31045D01* -X6170Y-31061D01* -X6162Y-31077D01* -X6154Y-31095D01* -X6146Y-31114D01* -X6137Y-31133D01* -X6128Y-31153D01* -X6118Y-31174D01* -X6108Y-31196D01* -X6102Y-31209D01* -X6093Y-31230D01* -X6083Y-31252D01* -X6074Y-31272D01* -X6065Y-31292D01* -X6056Y-31311D01* -X6048Y-31329D01* -X6040Y-31346D01* -X6033Y-31362D01* -X6026Y-31377D01* -X6020Y-31390D01* -X6014Y-31403D01* -X6009Y-31413D01* -X6005Y-31423D01* -X6001Y-31430D01* -X5999Y-31436D01* -X5997Y-31440D01* -X5996Y-31442D01* -X5995Y-31443D01* -X6475Y-31443D01* -X6471Y-31423D01* -X6467Y-31400D01* -X6463Y-31375D01* -X6458Y-31348D01* -X6452Y-31319D01* -X6448Y-31295D01* -X6443Y-31269D01* -X6438Y-31244D01* -X6434Y-31220D01* -X6429Y-31196D01* -X6425Y-31173D01* -X6421Y-31151D01* -X6417Y-31130D01* -X6413Y-31110D01* -X6410Y-31092D01* -X6407Y-31074D01* -X6404Y-31058D01* -X6401Y-31044D01* -X6399Y-31031D01* -X6396Y-31019D01* -X6395Y-31010D01* -X6393Y-31002D01* -X6392Y-30996D01* -X6391Y-30992D01* -X6391Y-30990D01* -X6391Y-30990D01* -X6388Y-30984D01* -X6384Y-30979D01* -X6379Y-30974D01* -X6376Y-30972D01* -X6370Y-30968D01* -X7911Y-30968D01* -X7951Y-30968D01* -X7988Y-30968D01* -X8022Y-30968D01* -X8055Y-30968D01* -X8085Y-30968D01* -X8114Y-30968D01* -X8140Y-30968D01* -X8164Y-30968D01* -X8185Y-30968D01* -X8205Y-30968D01* -X8223Y-30968D01* -X8238Y-30968D01* -X8251Y-30968D01* -X8262Y-30968D01* -X8272Y-30968D01* -X8279Y-30968D01* -X8284Y-30968D01* -X8287Y-30968D01* -X8284Y-30968D01* -X8279Y-30968D01* -X8272Y-30968D01* -X8263Y-30968D01* -X8252Y-30968D01* -X8239Y-30968D01* -X8224Y-30968D01* -X8208Y-30968D01* -X8189Y-30968D01* -X8169Y-30968D01* -X8146Y-30968D01* -X8122Y-30968D01* -X8096Y-30968D01* -X8068Y-30968D01* -X8038Y-30968D01* -X8007Y-30968D01* -X7973Y-30968D01* -X7938Y-30968D01* -X7901Y-30968D01* -X7862Y-30968D01* -X7822Y-30968D01* -X7780Y-30968D01* -X7736Y-30968D01* -X7690Y-30968D01* -X7642Y-30968D01* -X7593Y-30968D01* -X7542Y-30968D01* -X7490Y-30968D01* -X7436Y-30968D01* -X7380Y-30968D01* -X7322Y-30968D01* -X7263Y-30968D01* -X7202Y-30968D01* -X7140Y-30968D01* -X7076Y-30968D01* -X7011Y-30968D01* -X6943Y-30967D01* -X6875Y-30967D01* -X6804Y-30967D01* -X6733Y-30967D01* -X6659Y-30967D01* -X6585Y-30967D01* -X6508Y-30967D01* -X6502Y-30967D01* -X3550Y-30967D01* -X3550Y-33459D01* -X3550Y-33530D01* -X3550Y-33599D01* -X3550Y-33667D01* -X3550Y-33733D01* -X3550Y-33798D01* -X3550Y-33862D01* -X3550Y-33924D01* -X3550Y-33984D01* -X3550Y-34044D01* -X3550Y-34101D01* -X3550Y-34157D01* -X3550Y-34212D01* -X3550Y-34265D01* -X3550Y-34316D01* -X3550Y-34366D01* -X3550Y-34414D01* -X3550Y-34460D01* -X3550Y-34505D01* -X3550Y-34549D01* -X3550Y-34590D01* -X3550Y-34630D01* -X3550Y-34668D01* -X3550Y-34705D01* -X3550Y-34740D01* -X3550Y-34773D01* -X3550Y-34804D01* -X3550Y-34834D01* -X3550Y-34861D01* -X3550Y-34887D01* -X3550Y-34911D01* -X3550Y-34934D01* -X3550Y-34954D01* -X3550Y-34973D01* -X3550Y-34989D01* -X3550Y-35004D01* -X3550Y-35017D01* -X3550Y-35028D01* -X3550Y-35037D01* -X3550Y-35045D01* -X3550Y-35050D01* -X3550Y-35053D01* -X3550Y-35054D01* -X3550Y-35053D01* -X3550Y-35051D01* -X3550Y-35046D01* -X3550Y-35039D01* -X3550Y-35030D01* -X3550Y-35019D01* -X3550Y-35006D01* -X3550Y-34991D01* -X3550Y-34973D01* -X3550Y-34954D01* -X3550Y-34932D01* -X3550Y-34909D01* -X3550Y-34883D01* -X3550Y-34854D01* -X3550Y-34824D01* -X3551Y-34804D01* -X3551Y-34754D01* -X3551Y-34704D01* -X3551Y-34654D01* -X3551Y-34606D01* -X3551Y-34557D01* -X3551Y-34510D01* -X3551Y-34463D01* -X3551Y-34418D01* -X3551Y-34373D01* -X3551Y-34329D01* -X3551Y-34286D01* -X3551Y-34243D01* -X3551Y-34203D01* -X3551Y-34163D01* -X3551Y-34124D01* -X3551Y-34086D01* -X3551Y-34050D01* -X3551Y-34015D01* -X3551Y-33981D01* -X3551Y-33949D01* -X3551Y-33918D01* -X3551Y-33889D01* -X3551Y-33861D01* -X3551Y-33835D01* -X3551Y-33810D01* -X3551Y-33787D01* -X3551Y-33766D01* -X3551Y-33746D01* -X3551Y-33729D01* -X3551Y-33713D01* -X3551Y-33699D01* -X3551Y-33687D01* -X3551Y-33677D01* -X3551Y-33669D01* -X3551Y-33663D01* -X3551Y-33659D01* -X3551Y-33657D01* -X3551Y-33657D01* -X3552Y-33658D01* -X3555Y-33660D01* -X3560Y-33662D01* -X3566Y-33666D01* -X3573Y-33671D01* -X3582Y-33677D01* -X3593Y-33683D01* -X3604Y-33690D01* -X3617Y-33698D01* -X3630Y-33707D01* -X3645Y-33716D01* -X3660Y-33725D01* -X3676Y-33735D01* -X3693Y-33746D01* -X3710Y-33757D01* -X3712Y-33758D01* -X3730Y-33769D01* -X3747Y-33780D01* -X3763Y-33790D01* -X3779Y-33800D01* -X3794Y-33809D01* -X3808Y-33818D01* -X3821Y-33826D01* -X3833Y-33834D01* -X3844Y-33840D01* -X3853Y-33846D01* -X3861Y-33851D01* -X3868Y-33856D01* -X3873Y-33859D01* -X3877Y-33861D01* -X3878Y-33862D01* -X3878Y-33862D01* -X3879Y-33863D01* -X3880Y-33863D01* -X3882Y-33864D01* -X3883Y-33864D01* -X3886Y-33865D01* -X3889Y-33865D01* -X3893Y-33866D01* -X3897Y-33867D01* -X3903Y-33869D01* -X3910Y-33870D01* -X3918Y-33871D01* -X3927Y-33873D01* -X3937Y-33875D01* -X3950Y-33878D01* -X3963Y-33880D01* -X3979Y-33883D01* -X3996Y-33886D01* -X4015Y-33890D01* -X4037Y-33894D01* -X4046Y-33895D01* -X4065Y-33899D01* -X4083Y-33902D01* -X4101Y-33906D01* -X4118Y-33909D01* -X4133Y-33912D01* -X4148Y-33915D01* -X4162Y-33917D01* -X4174Y-33920D01* -X4185Y-33922D01* -X4195Y-33923D01* -X4202Y-33925D01* -X4208Y-33926D01* -X4212Y-33927D01* -X4214Y-33927D01* -X4214Y-33927D01* -X4221Y-33930D01* -X4228Y-33935D01* -X4234Y-33940D01* -X4240Y-33946D01* -X4242Y-33951D01* -X4243Y-33952D01* -X4244Y-33955D01* -X4246Y-33961D01* -X4249Y-33968D01* -X4253Y-33976D01* -X4257Y-33986D01* -X4262Y-33998D01* -X4267Y-34011D01* -X4273Y-34026D01* -X4280Y-34041D01* -X4286Y-34058D01* -X4294Y-34075D01* -X4301Y-34094D01* -X4309Y-34113D01* -X4317Y-34133D01* -X4326Y-34154D01* -X4333Y-34171D01* -X4344Y-34199D01* -X4355Y-34225D01* -X4365Y-34250D01* -X4374Y-34272D01* -X4382Y-34292D01* -X4390Y-34311D01* -X4396Y-34327D01* -X4402Y-34342D01* -X4407Y-34355D01* -X4412Y-34366D01* -X4415Y-34375D01* -X4418Y-34382D01* -X4420Y-34388D01* -X4422Y-34392D01* -X4423Y-34394D01* -X4423Y-34394D01* -X4423Y-34402D01* -X4423Y-34410D01* -X4421Y-34418D01* -X4419Y-34423D01* -X4418Y-34424D01* -X4416Y-34427D01* -X4413Y-34432D01* -X4408Y-34439D01* -X4403Y-34447D01* -X4397Y-34456D01* -X4389Y-34467D01* -X4381Y-34479D01* -X4372Y-34492D01* -X4363Y-34506D01* -X4352Y-34521D01* -X4341Y-34537D01* -X4330Y-34554D01* -X4318Y-34572D01* -X4305Y-34590D01* -X4292Y-34609D01* -X4279Y-34629D01* -X4271Y-34640D01* -X4257Y-34660D01* -X4244Y-34679D01* -X4231Y-34698D01* -X4219Y-34716D01* -X4207Y-34733D01* -X4196Y-34750D01* -X4185Y-34765D01* -X4175Y-34780D01* -X4166Y-34793D01* -X4158Y-34806D01* -X4150Y-34817D01* -X4143Y-34827D01* -X4137Y-34835D01* -X4133Y-34842D01* -X4129Y-34848D01* -X4126Y-34851D01* -X4125Y-34854D01* -X4125Y-34854D01* -X4125Y-34855D01* -X4128Y-34858D01* -X4131Y-34862D01* -X4135Y-34867D01* -X4140Y-34874D01* -X4146Y-34881D01* -X4153Y-34889D01* -X4161Y-34898D01* -X4168Y-34908D01* -X4177Y-34918D01* -X4185Y-34928D01* -X4194Y-34939D01* -X4203Y-34949D01* -X4211Y-34959D01* -X4220Y-34969D01* -X4228Y-34979D01* -X4235Y-34988D01* -X4242Y-34996D01* -X4248Y-35003D01* -X4254Y-35009D01* -X4258Y-35015D01* -X4262Y-35018D01* -X4264Y-35021D01* -X4265Y-35022D01* -X4266Y-35021D01* -X4269Y-35019D01* -X4273Y-35016D01* -X4279Y-35012D01* -X4286Y-35007D01* -X4294Y-35002D01* -X4303Y-34996D01* -X4313Y-34989D01* -X4324Y-34981D01* -X4335Y-34974D01* -X4336Y-34973D01* -X4347Y-34965D01* -X4357Y-34958D01* -X4367Y-34951D01* -X4376Y-34945D01* -X4385Y-34939D01* -X4392Y-34935D01* -X4397Y-34931D01* -X4402Y-34928D01* -X4404Y-34926D01* -X4406Y-34925D01* -X4406Y-34925D01* -X4406Y-34927D01* -X4406Y-34930D01* -X4407Y-34935D01* -X4407Y-34942D01* -X4408Y-34950D01* -X4409Y-34960D01* -X4410Y-34971D01* -X4410Y-34984D01* -X4412Y-34997D01* -X4413Y-35011D01* -X4414Y-35024D01* -X4415Y-35038D01* -X4416Y-35052D01* -X4417Y-35065D01* -X4418Y-35077D01* -X4419Y-35089D01* -X4419Y-35098D01* -X4420Y-35107D01* -X4421Y-35113D01* -X4421Y-35118D01* -X4421Y-35121D01* -X4422Y-35122D01* -X4423Y-35122D01* -X4426Y-35123D01* -X4431Y-35123D01* -X4438Y-35124D01* -X4446Y-35125D01* -X4455Y-35126D01* -X4466Y-35127D01* -X4477Y-35129D01* -X4490Y-35130D01* -X4493Y-35130D01* -X4507Y-35132D01* -X4519Y-35133D01* -X4530Y-35134D01* -X4539Y-35135D01* -X4546Y-35136D01* -X4551Y-35137D01* -X4556Y-35137D01* -X4559Y-35138D01* -X4561Y-35138D01* -X4562Y-35139D01* -X4563Y-35139D01* -X4563Y-35139D01* -X4562Y-35141D01* -X4562Y-35144D01* -X4561Y-35150D01* -X4560Y-35157D01* -X4558Y-35165D01* -X4557Y-35174D01* -X4555Y-35184D01* -X4553Y-35195D01* -X4552Y-35207D01* -X4550Y-35218D01* -X4548Y-35230D01* -X4546Y-35242D01* -X4544Y-35253D01* -X4542Y-35264D01* -X4541Y-35274D01* -X4539Y-35284D01* -X4538Y-35292D01* -X4537Y-35298D01* -X4536Y-35303D01* -X4536Y-35306D01* -X4535Y-35308D01* -X4536Y-35309D01* -X4539Y-35311D01* -X4542Y-35314D01* -X4547Y-35319D01* -X4553Y-35324D01* -X4559Y-35330D01* -X4567Y-35336D01* -X4574Y-35343D01* -X4582Y-35350D01* -X4590Y-35356D01* -X4597Y-35363D01* -X4604Y-35369D01* -X4611Y-35375D01* -X4617Y-35380D01* -X4622Y-35384D01* -X4626Y-35387D01* -X4629Y-35389D01* -X4630Y-35390D01* -X4630Y-35390D01* -X4631Y-35390D01* -X4634Y-35388D01* -X4639Y-35385D01* -X4645Y-35381D01* -X4653Y-35376D01* -X4662Y-35369D01* -X4672Y-35362D01* -X4684Y-35354D01* -X4697Y-35346D01* -X4711Y-35336D01* -X4726Y-35326D01* -X4742Y-35315D01* -X4759Y-35304D01* -X4776Y-35292D01* -X4795Y-35279D01* -X4814Y-35266D01* -X4833Y-35253D01* -X4850Y-35241D01* -X4874Y-35225D01* -X4897Y-35209D01* -X4918Y-35194D01* -X4939Y-35181D01* -X4958Y-35167D01* -X4976Y-35155D01* -X4993Y-35144D01* -X5008Y-35134D01* -X5022Y-35124D01* -X5034Y-35116D01* -X5045Y-35109D01* -X5054Y-35103D01* -X5062Y-35098D01* -X5068Y-35094D01* -X5072Y-35091D01* -X5074Y-35089D01* -X5075Y-35089D01* -X5078Y-35088D01* -X5082Y-35087D01* -X5085Y-35087D01* -X5090Y-35086D01* -X5093Y-35086D01* -X5105Y-35086D01* -X5121Y-35093D01* -X5123Y-35094D01* -X5128Y-35096D01* -X5134Y-35099D01* -X5142Y-35103D01* -X5152Y-35107D01* -X5163Y-35112D01* -X5176Y-35117D01* -X5190Y-35123D01* -X5205Y-35130D01* -X5221Y-35137D01* -X5238Y-35144D01* -X5257Y-35152D01* -X5275Y-35160D01* -X5295Y-35168D01* -X5315Y-35177D01* -X5336Y-35186D01* -X5343Y-35189D01* -X5363Y-35198D01* -X5384Y-35207D01* -X5403Y-35215D01* -X5422Y-35223D01* -X5440Y-35231D01* -X5457Y-35238D01* -X5473Y-35245D01* -X5488Y-35252D01* -X5501Y-35257D01* -X5514Y-35263D01* -X5525Y-35268D01* -X5534Y-35272D01* -X5542Y-35275D01* -X5548Y-35278D01* -X5553Y-35280D01* -X5555Y-35281D01* -X5556Y-35281D01* -X5561Y-35285D01* -X5567Y-35290D01* -X5572Y-35296D01* -X5576Y-35302D01* -X5578Y-35305D01* -X5579Y-35306D01* -X5579Y-35307D01* -X5580Y-35309D01* -X5580Y-35312D01* -X5581Y-35315D01* -X5582Y-35319D01* -X5583Y-35324D01* -X5584Y-35329D01* -X5585Y-35336D01* -X5587Y-35344D01* -X5588Y-35353D01* -X5590Y-35363D01* -X5593Y-35374D01* -X5595Y-35387D01* -X5598Y-35401D01* -X5601Y-35417D01* -X5604Y-35434D01* -X5607Y-35454D01* -X5611Y-35475D01* -X5616Y-35497D01* -X5620Y-35522D01* -X5625Y-35549D01* -X5630Y-35578D01* -X5631Y-35580D01* -X5635Y-35604D01* -X5640Y-35628D01* -X5644Y-35651D01* -X5648Y-35673D01* -X5652Y-35694D01* -X5656Y-35715D01* -X5659Y-35734D01* -X5663Y-35752D01* -X5666Y-35769D01* -X5669Y-35784D01* -X5671Y-35799D01* -X5674Y-35811D01* -X5676Y-35822D01* -X5677Y-35831D01* -X5679Y-35839D01* -X5680Y-35844D01* -X5681Y-35848D01* -X5681Y-35849D01* -X5681Y-35849D01* -X5681Y-35849D01* -G37* -G36* -X9436Y-32525D02* -X9436Y-32444D01* -X9436Y-32363D01* -X9130Y-32363D01* -X9106Y-32363D01* -X9106Y-32321D01* -X9106Y-32311D01* -X9106Y-32301D01* -X9106Y-32291D01* -X9106Y-32283D01* -X9106Y-32276D01* -X9107Y-32270D01* -X9107Y-32266D01* -X9109Y-32251D01* -X9113Y-32237D01* -X9118Y-32224D01* -X9125Y-32214D01* -X9133Y-32204D01* -X9143Y-32197D01* -X9155Y-32191D01* -X9163Y-32188D01* -X9173Y-32185D01* -X9183Y-32183D01* -X9194Y-32182D01* -X9206Y-32182D01* -X9211Y-32182D01* -X9224Y-32183D01* -X9236Y-32185D01* -X9246Y-32188D01* -X9256Y-32192D01* -X9260Y-32193D01* -X9270Y-32199D01* -X9279Y-32207D01* -X9286Y-32216D01* -X9292Y-32226D01* -X9296Y-32237D01* -X9296Y-32239D01* -X9297Y-32248D01* -X9298Y-32257D01* -X9297Y-32267D01* -X9296Y-32275D01* -X9296Y-32276D01* -X9293Y-32286D01* -X9288Y-32295D01* -X9283Y-32304D01* -X9275Y-32312D01* -X9273Y-32315D01* -X9261Y-32325D01* -X9248Y-32335D01* -X9234Y-32342D01* -X9218Y-32349D01* -X9201Y-32355D01* -X9195Y-32356D01* -X9184Y-32359D01* -X9174Y-32360D01* -X9164Y-32362D01* -X9153Y-32363D01* -X9140Y-32363D01* -X9130Y-32363D01* -X9436Y-32363D01* -X9384Y-32363D01* -X9331Y-32363D01* -X9343Y-32357D01* -X9364Y-32345D01* -X9382Y-32333D01* -X9398Y-32320D01* -X9412Y-32307D01* -X9424Y-32294D01* -X9433Y-32280D01* -X9439Y-32270D01* -X9443Y-32261D01* -X9446Y-32252D01* -X9449Y-32244D01* -X9451Y-32236D01* -X9452Y-32227D01* -X9453Y-32217D01* -X9454Y-32206D01* -X9454Y-32199D01* -X9454Y-32190D01* -X9454Y-32183D01* -X9454Y-32178D01* -X9454Y-32173D01* -X9453Y-32169D01* -X9453Y-32166D01* -X9452Y-32162D01* -X9452Y-32162D01* -X9450Y-32154D01* -X9447Y-32145D01* -X9444Y-32137D01* -X9441Y-32129D01* -X9440Y-32127D01* -X9431Y-32111D01* -X9420Y-32097D01* -X9408Y-32084D01* -X9393Y-32072D01* -X9377Y-32061D01* -X9360Y-32051D01* -X9341Y-32042D01* -X9321Y-32035D01* -X9299Y-32029D01* -X9277Y-32025D01* -X9273Y-32024D01* -X9254Y-32022D01* -X9233Y-32020D01* -X9212Y-32020D01* -X9190Y-32021D01* -X9182Y-32021D01* -X9156Y-32024D01* -X9132Y-32028D01* -X9110Y-32033D01* -X9090Y-32040D01* -X9071Y-32048D01* -X9054Y-32058D01* -X9038Y-32069D01* -X9024Y-32082D01* -X9012Y-32096D01* -X9001Y-32112D01* -X8992Y-32130D01* -X8984Y-32149D01* -X8977Y-32170D01* -X8973Y-32188D01* -X8972Y-32194D01* -X8971Y-32200D01* -X8970Y-32205D01* -X8969Y-32210D01* -X8968Y-32215D01* -X8968Y-32221D01* -X8967Y-32227D01* -X8967Y-32234D01* -X8967Y-32242D01* -X8967Y-32251D01* -X8966Y-32262D01* -X8966Y-32274D01* -X8966Y-32288D01* -X8966Y-32295D01* -X8966Y-32363D01* -X8948Y-32363D01* -X8936Y-32363D01* -X8925Y-32362D01* -X8915Y-32360D01* -X8906Y-32358D01* -X8901Y-32356D01* -X8893Y-32353D01* -X8885Y-32349D01* -X8878Y-32344D01* -X8870Y-32337D01* -X8870Y-32337D01* -X8863Y-32328D01* -X8856Y-32318D01* -X8851Y-32306D01* -X8847Y-32293D01* -X8843Y-32279D01* -X8843Y-32272D01* -X8842Y-32264D01* -X8841Y-32255D01* -X8841Y-32245D01* -X8841Y-32234D01* -X8842Y-32224D01* -X8842Y-32214D01* -X8843Y-32205D01* -X8843Y-32199D01* -X8848Y-32174D01* -X8855Y-32150D01* -X8863Y-32127D01* -X8874Y-32106D01* -X8886Y-32085D01* -X8894Y-32074D01* -X8901Y-32064D01* -X8812Y-32064D01* -X8798Y-32064D01* -X8784Y-32064D01* -X8772Y-32064D01* -X8760Y-32064D01* -X8750Y-32064D01* -X8741Y-32064D01* -X8733Y-32064D01* -X8727Y-32064D01* -X8723Y-32064D01* -X8721Y-32064D01* -X8721Y-32064D01* -X8720Y-32066D01* -X8719Y-32069D01* -X8717Y-32074D01* -X8716Y-32080D01* -X8713Y-32087D01* -X8711Y-32094D01* -X8709Y-32101D01* -X8707Y-32108D01* -X8705Y-32115D01* -X8704Y-32118D01* -X8700Y-32137D01* -X8695Y-32157D01* -X8692Y-32178D01* -X8688Y-32199D01* -X8686Y-32218D01* -X8685Y-32227D01* -X8685Y-32234D01* -X8685Y-32243D01* -X8684Y-32253D01* -X8684Y-32264D01* -X8684Y-32275D01* -X8684Y-32285D01* -X8685Y-32295D01* -X8685Y-32303D01* -X8685Y-32308D01* -X8689Y-32333D01* -X8693Y-32355D01* -X8700Y-32376D01* -X8708Y-32396D01* -X8717Y-32414D01* -X8728Y-32431D01* -X8741Y-32446D01* -X8755Y-32459D01* -X8771Y-32471D01* -X8789Y-32482D01* -X8808Y-32492D01* -X8829Y-32500D01* -X8830Y-32500D01* -X8852Y-32507D01* -X8876Y-32513D01* -X8902Y-32517D01* -X8929Y-32521D01* -X8959Y-32523D01* -X8966Y-32524D01* -X8970Y-32524D01* -X8976Y-32524D01* -X8984Y-32524D01* -X8994Y-32524D01* -X9006Y-32525D01* -X9020Y-32525D01* -X9036Y-32525D01* -X9054Y-32525D01* -X9073Y-32525D01* -X9095Y-32525D01* -X9118Y-32525D01* -X9142Y-32525D01* -X9169Y-32525D01* -X9196Y-32525D01* -X9211Y-32525D01* -X9436Y-32525D01* -X9436Y-32525D01* -X9436Y-32525D01* -G37* -G36* -X9081Y-33878D02* -X9118Y-33877D01* -X9125Y-33876D01* -X9157Y-33874D01* -X9187Y-33869D01* -X9216Y-33864D01* -X9244Y-33856D01* -X9270Y-33848D01* -X9295Y-33838D01* -X9318Y-33827D01* -X9340Y-33814D01* -X9360Y-33800D01* -X9378Y-33785D01* -X9395Y-33769D01* -X9409Y-33751D01* -X9418Y-33739D01* -X9428Y-33723D01* -X9433Y-33711D01* -X9074Y-33711D01* -X9061Y-33711D01* -X9048Y-33711D01* -X9035Y-33710D01* -X9024Y-33710D01* -X9013Y-33709D01* -X9008Y-33708D01* -X8984Y-33705D01* -X8962Y-33701D01* -X8942Y-33696D01* -X8924Y-33690D01* -X8908Y-33683D01* -X8894Y-33675D01* -X8882Y-33666D01* -X8872Y-33656D01* -X8864Y-33645D01* -X8858Y-33634D01* -X8857Y-33630D01* -X8855Y-33623D01* -X8854Y-33615D01* -X8853Y-33606D01* -X8853Y-33597D01* -X8854Y-33588D01* -X8855Y-33586D01* -X8859Y-33573D01* -X8864Y-33562D01* -X8872Y-33551D01* -X8882Y-33541D01* -X8894Y-33532D01* -X8908Y-33525D01* -X8924Y-33518D01* -X8942Y-33512D01* -X8949Y-33509D01* -X8964Y-33506D01* -X8979Y-33503D01* -X8995Y-33501D01* -X9011Y-33499D01* -X9029Y-33498D01* -X9049Y-33497D01* -X9055Y-33497D01* -X9082Y-33497D01* -X9108Y-33498D01* -X9132Y-33500D01* -X9155Y-33502D01* -X9176Y-33506D01* -X9195Y-33511D01* -X9213Y-33516D01* -X9228Y-33523D01* -X9241Y-33530D01* -X9253Y-33538D01* -X9263Y-33548D01* -X9272Y-33558D01* -X9278Y-33568D01* -X9282Y-33577D01* -X9285Y-33588D01* -X9286Y-33600D01* -X9286Y-33613D01* -X9284Y-33624D01* -X9284Y-33625D01* -X9279Y-33637D01* -X9273Y-33649D01* -X9265Y-33659D01* -X9254Y-33669D01* -X9242Y-33677D01* -X9228Y-33685D01* -X9211Y-33692D01* -X9193Y-33697D01* -X9172Y-33702D01* -X9150Y-33706D01* -X9126Y-33709D01* -X9108Y-33710D01* -X9098Y-33711D01* -X9087Y-33711D01* -X9074Y-33711D01* -X9433Y-33711D01* -X9436Y-33705D01* -X9443Y-33687D01* -X9448Y-33667D01* -X9451Y-33656D01* -X9451Y-33652D01* -X9452Y-33648D01* -X9453Y-33644D01* -X9453Y-33639D01* -X9453Y-33634D01* -X9454Y-33628D01* -X9454Y-33621D01* -X9454Y-33612D01* -X9454Y-33608D01* -X9454Y-33598D01* -X9454Y-33590D01* -X9454Y-33583D01* -X9454Y-33578D01* -X9453Y-33573D01* -X9453Y-33568D01* -X9452Y-33564D01* -X9452Y-33562D01* -X9447Y-33538D01* -X9441Y-33516D01* -X9433Y-33496D01* -X9422Y-33476D01* -X9410Y-33459D01* -X9402Y-33448D01* -X9386Y-33430D01* -X9368Y-33415D01* -X9348Y-33400D01* -X9327Y-33387D01* -X9304Y-33375D01* -X9280Y-33364D01* -X9253Y-33355D01* -X9226Y-33347D01* -X9196Y-33341D01* -X9177Y-33338D01* -X9151Y-33334D01* -X9123Y-33332D01* -X9095Y-33331D01* -X9066Y-33330D01* -X9037Y-33331D01* -X9009Y-33333D01* -X8981Y-33335D01* -X8955Y-33339D01* -X8945Y-33341D01* -X8916Y-33347D01* -X8889Y-33354D01* -X8863Y-33363D01* -X8839Y-33373D01* -X8816Y-33384D01* -X8795Y-33397D01* -X8792Y-33399D01* -X8772Y-33414D01* -X8755Y-33429D01* -X8739Y-33446D01* -X8725Y-33462D01* -X8714Y-33481D01* -X8704Y-33501D01* -X8696Y-33522D01* -X8690Y-33544D01* -X8686Y-33567D01* -X8684Y-33591D01* -X8684Y-33617D01* -X8684Y-33621D01* -X8687Y-33645D01* -X8691Y-33668D01* -X8697Y-33690D01* -X8705Y-33710D01* -X8715Y-33730D01* -X8727Y-33748D01* -X8741Y-33766D01* -X8757Y-33782D01* -X8775Y-33798D01* -X8794Y-33811D01* -X8816Y-33824D01* -X8839Y-33836D01* -X8864Y-33846D01* -X8891Y-33855D01* -X8919Y-33863D01* -X8949Y-33869D01* -X8980Y-33873D01* -X9012Y-33876D01* -X9046Y-33878D01* -X9081Y-33878D01* -X9081Y-33878D01* -X9081Y-33878D01* -G37* -G36* -X9436Y-34461D02* -X9436Y-34381D01* -X9436Y-34300D01* -X9105Y-34300D01* -X9106Y-34249D01* -X9106Y-34236D01* -X9106Y-34225D01* -X9106Y-34216D01* -X9107Y-34208D01* -X9107Y-34202D01* -X9107Y-34197D01* -X9108Y-34192D01* -X9109Y-34188D01* -X9109Y-34184D01* -X9111Y-34180D01* -X9112Y-34176D01* -X9113Y-34173D01* -X9118Y-34160D01* -X9125Y-34150D01* -X9133Y-34140D01* -X9143Y-34133D01* -X9155Y-34127D01* -X9168Y-34122D01* -X9182Y-34119D01* -X9197Y-34118D01* -X9214Y-34118D01* -X9229Y-34120D01* -X9243Y-34123D01* -X9256Y-34128D01* -X9267Y-34134D01* -X9277Y-34141D01* -X9285Y-34150D01* -X9291Y-34159D01* -X9295Y-34170D01* -X9296Y-34175D01* -X9297Y-34183D01* -X9298Y-34192D01* -X9297Y-34201D01* -X9296Y-34210D01* -X9294Y-34218D01* -X9294Y-34218D01* -X9289Y-34230D01* -X9282Y-34241D01* -X9272Y-34252D01* -X9261Y-34261D01* -X9249Y-34270D01* -X9235Y-34278D01* -X9219Y-34285D01* -X9202Y-34290D01* -X9194Y-34293D01* -X9184Y-34295D01* -X9174Y-34296D01* -X9163Y-34298D01* -X9151Y-34299D01* -X9137Y-34299D01* -X9131Y-34300D01* -X9105Y-34300D01* -X9436Y-34300D01* -X9436Y-34300D01* -X9383Y-34300D01* -X9330Y-34300D01* -X9347Y-34291D01* -X9365Y-34280D01* -X9382Y-34269D01* -X9397Y-34258D01* -X9409Y-34246D01* -X9420Y-34234D01* -X9430Y-34222D01* -X9437Y-34209D01* -X9444Y-34195D01* -X9449Y-34180D01* -X9451Y-34171D01* -X9452Y-34165D01* -X9453Y-34158D01* -X9453Y-34150D01* -X9454Y-34141D01* -X9454Y-34132D01* -X9454Y-34123D01* -X9454Y-34114D01* -X9453Y-34107D01* -X9453Y-34101D01* -X9453Y-34101D01* -X9448Y-34084D01* -X9442Y-34067D01* -X9434Y-34052D01* -X9423Y-34037D01* -X9411Y-34023D01* -X9397Y-34011D01* -X9382Y-33999D01* -X9365Y-33989D01* -X9347Y-33980D01* -X9327Y-33973D01* -X9308Y-33967D01* -X9295Y-33964D01* -X9282Y-33961D01* -X9269Y-33959D01* -X9256Y-33958D01* -X9242Y-33957D01* -X9226Y-33957D01* -X9214Y-33957D01* -X9201Y-33957D01* -X9190Y-33957D01* -X9180Y-33957D01* -X9170Y-33958D01* -X9161Y-33959D01* -X9152Y-33960D01* -X9144Y-33962D01* -X9121Y-33966D01* -X9099Y-33972D01* -X9080Y-33980D01* -X9062Y-33989D01* -X9045Y-34000D01* -X9030Y-34012D01* -X9017Y-34026D01* -X9005Y-34041D01* -X8995Y-34058D01* -X8987Y-34077D01* -X8979Y-34097D01* -X8974Y-34117D01* -X8973Y-34125D01* -X8971Y-34132D01* -X8970Y-34139D01* -X8969Y-34146D01* -X8968Y-34153D01* -X8968Y-34161D01* -X8967Y-34170D01* -X8967Y-34179D01* -X8966Y-34190D01* -X8966Y-34202D01* -X8966Y-34216D01* -X8966Y-34232D01* -X8966Y-34239D01* -X8966Y-34300D01* -X8948Y-34300D01* -X8933Y-34299D01* -X8920Y-34297D01* -X8909Y-34295D01* -X8899Y-34292D01* -X8890Y-34288D01* -X8882Y-34283D01* -X8874Y-34276D01* -X8871Y-34273D01* -X8866Y-34268D01* -X8862Y-34263D01* -X8859Y-34259D01* -X8856Y-34254D01* -X8852Y-34245D01* -X8849Y-34236D01* -X8846Y-34227D01* -X8844Y-34217D01* -X8843Y-34207D01* -X8842Y-34195D01* -X8841Y-34181D01* -X8841Y-34177D01* -X8841Y-34163D01* -X8842Y-34152D01* -X8843Y-34141D01* -X8844Y-34131D01* -X8846Y-34121D01* -X8848Y-34111D01* -X8850Y-34104D01* -X8857Y-34081D01* -X8866Y-34058D01* -X8876Y-34037D01* -X8889Y-34017D01* -X8894Y-34009D01* -X8897Y-34005D01* -X8899Y-34002D01* -X8901Y-34000D01* -X8901Y-34000D01* -X8900Y-34000D01* -X8897Y-34000D01* -X8892Y-34000D01* -X8885Y-34000D01* -X8877Y-34000D01* -X8867Y-34000D01* -X8856Y-34000D01* -X8844Y-34000D01* -X8831Y-34000D01* -X8817Y-34000D01* -X8811Y-34000D01* -X8721Y-34000D01* -X8715Y-34016D01* -X8705Y-34051D01* -X8697Y-34086D01* -X8690Y-34122D01* -X8686Y-34158D01* -X8686Y-34158D01* -X8685Y-34166D01* -X8685Y-34175D01* -X8684Y-34186D01* -X8684Y-34197D01* -X8684Y-34208D01* -X8684Y-34219D01* -X8685Y-34229D01* -X8685Y-34237D01* -X8685Y-34244D01* -X8689Y-34268D01* -X8693Y-34290D01* -X8699Y-34311D01* -X8707Y-34331D01* -X8716Y-34349D01* -X8727Y-34365D01* -X8739Y-34380D01* -X8753Y-34394D01* -X8757Y-34397D01* -X8773Y-34409D01* -X8791Y-34419D01* -X8810Y-34428D01* -X8831Y-34436D01* -X8854Y-34443D01* -X8879Y-34449D01* -X8906Y-34454D01* -X8925Y-34456D01* -X8930Y-34457D01* -X8934Y-34457D01* -X8939Y-34458D01* -X8943Y-34458D01* -X8948Y-34459D01* -X8953Y-34459D01* -X8958Y-34459D01* -X8964Y-34460D01* -X8970Y-34460D01* -X8977Y-34460D01* -X8985Y-34460D01* -X8993Y-34460D01* -X9003Y-34460D01* -X9013Y-34461D01* -X9025Y-34461D01* -X9038Y-34461D01* -X9052Y-34461D01* -X9068Y-34461D01* -X9086Y-34461D01* -X9105Y-34461D01* -X9126Y-34461D01* -X9149Y-34461D01* -X9174Y-34461D01* -X9201Y-34461D01* -X9209Y-34461D01* -X9436Y-34461D01* -X9436Y-34461D01* -X9436Y-34461D01* -G37* -G36* -X9436Y-35561D02* -X9436Y-35480D01* -X9436Y-35399D01* -X9385Y-35399D01* -X9333Y-35399D01* -X9334Y-35398D01* -X9070Y-35398D01* -X9057Y-35398D01* -X9045Y-35398D01* -X9035Y-35398D01* -X9026Y-35398D01* -X9018Y-35397D01* -X9011Y-35397D01* -X9003Y-35396D01* -X8995Y-35395D01* -X8987Y-35394D01* -X8981Y-35393D01* -X8960Y-35389D01* -X8941Y-35384D01* -X8924Y-35378D01* -X8909Y-35371D01* -X8895Y-35363D01* -X8884Y-35354D01* -X8876Y-35347D01* -X8868Y-35337D01* -X8862Y-35326D01* -X8858Y-35315D01* -X8856Y-35303D01* -X8855Y-35291D01* -X8857Y-35279D01* -X8860Y-35267D01* -X8865Y-35256D01* -X8873Y-35246D01* -X8881Y-35236D01* -X8884Y-35234D01* -X8895Y-35226D01* -X8908Y-35218D01* -X8923Y-35212D01* -X8939Y-35206D01* -X8957Y-35201D01* -X8977Y-35197D01* -X8998Y-35194D01* -X9022Y-35192D01* -X9025Y-35192D01* -X9031Y-35192D01* -X9038Y-35191D01* -X9048Y-35191D01* -X9058Y-35191D01* -X9068Y-35191D01* -X9079Y-35191D01* -X9090Y-35191D01* -X9101Y-35192D01* -X9110Y-35192D01* -X9119Y-35192D01* -X9126Y-35193D01* -X9131Y-35193D01* -X9150Y-35195D01* -X9166Y-35198D01* -X9178Y-35200D01* -X9197Y-35205D01* -X9214Y-35211D01* -X9230Y-35218D01* -X9243Y-35226D01* -X9255Y-35234D01* -X9265Y-35244D01* -X9272Y-35254D01* -X9278Y-35265D01* -X9279Y-35269D01* -X9281Y-35274D01* -X9282Y-35277D01* -X9283Y-35280D01* -X9283Y-35284D01* -X9283Y-35287D01* -X9283Y-35292D01* -X9283Y-35296D01* -X9283Y-35303D01* -X9282Y-35308D01* -X9282Y-35312D01* -X9281Y-35316D01* -X9280Y-35320D01* -X9274Y-35331D01* -X9267Y-35342D01* -X9258Y-35352D01* -X9247Y-35361D01* -X9233Y-35369D01* -X9218Y-35376D01* -X9201Y-35383D01* -X9182Y-35388D01* -X9162Y-35392D01* -X9153Y-35393D01* -X9145Y-35395D01* -X9136Y-35396D01* -X9128Y-35397D01* -X9119Y-35397D01* -X9110Y-35398D01* -X9099Y-35398D01* -X9087Y-35398D01* -X9074Y-35398D01* -X9070Y-35398D01* -X9334Y-35398D01* -X9347Y-35391D01* -X9368Y-35378D01* -X9386Y-35365D01* -X9402Y-35352D01* -X9416Y-35338D01* -X9427Y-35324D01* -X9437Y-35309D01* -X9440Y-35304D01* -X9443Y-35297D01* -X9446Y-35288D01* -X9449Y-35279D01* -X9451Y-35270D01* -X9453Y-35264D01* -X9453Y-35259D01* -X9454Y-35251D01* -X9454Y-35243D01* -X9454Y-35235D01* -X9454Y-35226D01* -X9454Y-35219D01* -X9453Y-35212D01* -X9453Y-35208D01* -X9448Y-35190D01* -X9441Y-35174D01* -X9433Y-35158D01* -X9422Y-35143D01* -X9409Y-35128D01* -X9394Y-35114D01* -X9377Y-35101D01* -X9357Y-35089D01* -X9338Y-35079D01* -X9312Y-35067D01* -X9285Y-35056D01* -X9256Y-35047D01* -X9226Y-35039D01* -X9194Y-35034D01* -X9161Y-35029D01* -X9126Y-35026D01* -X9090Y-35025D01* -X9054Y-35025D01* -X9040Y-35025D01* -X9028Y-35025D01* -X9018Y-35026D01* -X9008Y-35026D01* -X8998Y-35027D01* -X8989Y-35028D01* -X8978Y-35029D01* -X8970Y-35030D01* -X8938Y-35035D01* -X8906Y-35041D01* -X8877Y-35049D01* -X8848Y-35058D01* -X8822Y-35069D01* -X8796Y-35081D01* -X8773Y-35095D01* -X8769Y-35098D01* -X8751Y-35110D01* -X8735Y-35124D01* -X8722Y-35138D01* -X8710Y-35152D01* -X8701Y-35167D01* -X8693Y-35183D01* -X8691Y-35189D01* -X8687Y-35204D01* -X8685Y-35220D01* -X8684Y-35237D01* -X8685Y-35253D01* -X8687Y-35269D01* -X8691Y-35284D01* -X8692Y-35285D01* -X8698Y-35300D01* -X8706Y-35315D01* -X8717Y-35330D01* -X8730Y-35344D01* -X8745Y-35358D01* -X8761Y-35371D01* -X8780Y-35383D01* -X8794Y-35392D01* -X8805Y-35398D01* -X8611Y-35398D01* -X8416Y-35399D01* -X8416Y-35480D01* -X8416Y-35561D01* -X8926Y-35561D01* -X9436Y-35561D01* -X9436Y-35561D01* -X9436Y-35561D01* -G37* -G36* -X9435Y-32008D02* -X9435Y-32008D01* -X9435Y-32007D01* -X9436Y-32005D01* -X9436Y-32003D01* -X9436Y-31999D01* -X9436Y-31994D01* -X9436Y-31988D01* -X9436Y-31980D01* -X9436Y-31970D01* -X9436Y-31959D01* -X9436Y-31947D01* -X9436Y-31932D01* -X9436Y-31915D01* -X9436Y-31901D01* -X9436Y-31794D01* -X9245Y-31662D01* -X9054Y-31531D01* -X9245Y-31531D01* -X9436Y-31530D01* -X9436Y-31444D01* -X9436Y-31357D01* -X8947Y-31357D01* -X8457Y-31357D01* -X8457Y-31444D01* -X8457Y-31530D01* -X8634Y-31531D01* -X8811Y-31531D01* -X8634Y-31653D01* -X8457Y-31776D01* -X8457Y-31876D01* -X8457Y-31976D01* -X8463Y-31973D01* -X8464Y-31972D01* -X8467Y-31970D01* -X8472Y-31966D01* -X8478Y-31962D01* -X8486Y-31956D01* -X8496Y-31950D01* -X8506Y-31943D01* -X8518Y-31934D01* -X8532Y-31925D01* -X8546Y-31915D01* -X8561Y-31905D01* -X8578Y-31894D01* -X8595Y-31882D01* -X8613Y-31869D01* -X8631Y-31856D01* -X8651Y-31843D01* -X8670Y-31829D01* -X8691Y-31815D01* -X8694Y-31813D01* -X8714Y-31799D01* -X8734Y-31785D01* -X8753Y-31772D01* -X8772Y-31759D01* -X8790Y-31747D01* -X8807Y-31735D01* -X8823Y-31724D01* -X8838Y-31713D01* -X8852Y-31704D01* -X8866Y-31695D01* -X8877Y-31686D01* -X8888Y-31679D01* -X8897Y-31673D01* -X8905Y-31667D01* -X8912Y-31663D01* -X8916Y-31660D01* -X8919Y-31658D01* -X8921Y-31657D01* -X8921Y-31657D01* -X8922Y-31657D01* -X8924Y-31659D01* -X8929Y-31662D01* -X8935Y-31666D01* -X8942Y-31671D01* -X8951Y-31678D01* -X8962Y-31685D01* -X8974Y-31693D01* -X8987Y-31702D01* -X9001Y-31711D01* -X9016Y-31722D01* -X9033Y-31733D01* -X9050Y-31745D01* -X9068Y-31757D01* -X9087Y-31770D01* -X9107Y-31784D01* -X9127Y-31798D01* -X9148Y-31812D01* -X9169Y-31827D01* -X9177Y-31832D01* -X9199Y-31847D01* -X9220Y-31862D01* -X9241Y-31876D01* -X9261Y-31889D01* -X9280Y-31903D01* -X9298Y-31915D01* -X9316Y-31927D01* -X9333Y-31939D01* -X9349Y-31950D01* -X9363Y-31960D01* -X9377Y-31969D01* -X9389Y-31977D01* -X9400Y-31985D01* -X9410Y-31991D01* -X9418Y-31997D01* -X9425Y-32002D01* -X9430Y-32005D01* -X9433Y-32007D01* -X9435Y-32008D01* -X9435Y-32008D01* -X9435Y-32008D01* -X9435Y-32008D01* -G37* -G36* -X9147Y-33228D02* -X9159Y-33228D01* -X9170Y-33228D01* -X9181Y-33227D01* -X9192Y-33227D01* -X9201Y-33227D01* -X9209Y-33226D01* -X9214Y-33226D01* -X9239Y-33222D01* -X9262Y-33217D01* -X9283Y-33211D01* -X9303Y-33204D01* -X9321Y-33195D01* -X9338Y-33185D01* -X9344Y-33182D01* -X9352Y-33176D01* -X9362Y-33168D01* -X9371Y-33159D01* -X9380Y-33150D01* -X9387Y-33140D01* -X9394Y-33132D01* -X9394Y-33132D01* -X9404Y-33115D01* -X9413Y-33097D01* -X9420Y-33077D01* -X9426Y-33057D01* -X9426Y-33056D01* -X9129Y-33056D01* -X9126Y-33056D01* -X9108Y-33055D01* -X9092Y-33052D01* -X9078Y-33049D01* -X9065Y-33045D01* -X9053Y-33039D01* -X9043Y-33032D01* -X9040Y-33029D01* -X8734Y-33029D01* -X8723Y-33029D01* -X8715Y-33028D01* -X8698Y-33026D01* -X8684Y-33022D01* -X8671Y-33017D01* -X8659Y-33010D01* -X8650Y-33002D01* -X8642Y-32993D01* -X8636Y-32982D01* -X8632Y-32970D01* -X8632Y-32968D01* -X8631Y-32966D01* -X8631Y-32963D01* -X8631Y-32959D01* -X8631Y-32955D01* -X8630Y-32949D01* -X8630Y-32942D01* -X8630Y-32933D01* -X8630Y-32923D01* -X8630Y-32910D01* -X8630Y-32908D01* -X8629Y-32853D01* -X8732Y-32853D01* -X8835Y-32853D01* -X8835Y-32907D01* -X8835Y-32920D01* -X8835Y-32931D01* -X8835Y-32940D01* -X8835Y-32948D01* -X8835Y-32954D01* -X8835Y-32959D01* -X8834Y-32963D01* -X8834Y-32966D01* -X8834Y-32968D01* -X8833Y-32968D01* -X8830Y-32980D01* -X8824Y-32991D01* -X8817Y-33000D01* -X8808Y-33009D01* -X8797Y-33016D01* -X8785Y-33021D01* -X8771Y-33025D01* -X8765Y-33026D01* -X8756Y-33028D01* -X8745Y-33029D01* -X8734Y-33029D01* -X9040Y-33029D01* -X9034Y-33024D01* -X9032Y-33022D01* -X9024Y-33013D01* -X9018Y-33002D01* -X9014Y-32990D01* -X9011Y-32976D01* -X9010Y-32974D01* -X9010Y-32970D01* -X9009Y-32966D01* -X9009Y-32961D01* -X9009Y-32955D01* -X9009Y-32948D01* -X9009Y-32939D01* -X9008Y-32929D01* -X9008Y-32916D01* -X9008Y-32908D01* -X9008Y-32853D01* -X9136Y-32853D01* -X9263Y-32853D01* -X9263Y-32909D01* -X9263Y-32922D01* -X9263Y-32934D01* -X9263Y-32943D01* -X9263Y-32951D01* -X9263Y-32958D01* -X9263Y-32963D01* -X9262Y-32968D01* -X9262Y-32971D01* -X9262Y-32974D01* -X9262Y-32975D01* -X9260Y-32983D01* -X9258Y-32990D01* -X9256Y-32997D01* -X9252Y-33003D01* -X9252Y-33005D01* -X9245Y-33016D01* -X9236Y-33026D01* -X9226Y-33034D01* -X9214Y-33041D01* -X9200Y-33047D01* -X9184Y-33051D01* -X9167Y-33054D01* -X9149Y-33056D01* -X9129Y-33056D01* -X9426Y-33056D01* -X9430Y-33035D01* -X9434Y-33012D01* -X9435Y-32992D01* -X9435Y-32988D01* -X9436Y-32983D01* -X9436Y-32975D01* -X9436Y-32965D01* -X9436Y-32954D01* -X9436Y-32941D01* -X9436Y-32927D01* -X9436Y-32912D01* -X9436Y-32895D01* -X9436Y-32877D01* -X9436Y-32858D01* -X9436Y-32839D01* -X9436Y-32827D01* -X9436Y-32681D01* -X8947Y-32681D01* -X8457Y-32681D01* -X8458Y-32832D01* -X8458Y-32854D01* -X8458Y-32874D01* -X8458Y-32891D01* -X8458Y-32907D01* -X8458Y-32921D01* -X8458Y-32934D01* -X8458Y-32945D01* -X8458Y-32955D01* -X8458Y-32963D01* -X8459Y-32971D01* -X8459Y-32977D01* -X8459Y-32983D01* -X8459Y-32988D01* -X8460Y-32993D01* -X8460Y-32997D01* -X8460Y-33001D01* -X8461Y-33004D01* -X8461Y-33008D01* -X8462Y-33012D01* -X8463Y-33015D01* -X8463Y-33020D01* -X8464Y-33020D01* -X8465Y-33030D01* -X8468Y-33040D01* -X8471Y-33051D01* -X8474Y-33061D01* -X8477Y-33070D01* -X8477Y-33071D01* -X8485Y-33090D01* -X8494Y-33107D01* -X8505Y-33123D01* -X8517Y-33137D01* -X8530Y-33149D01* -X8545Y-33161D01* -X8562Y-33170D01* -X8580Y-33179D01* -X8600Y-33186D01* -X8621Y-33192D01* -X8645Y-33196D01* -X8662Y-33199D01* -X8670Y-33199D01* -X8679Y-33200D01* -X8690Y-33200D01* -X8701Y-33201D01* -X8713Y-33201D01* -X8725Y-33201D01* -X8737Y-33201D01* -X8747Y-33200D01* -X8756Y-33200D01* -X8763Y-33199D01* -X8764Y-33199D01* -X8788Y-33195D01* -X8809Y-33189D01* -X8829Y-33182D01* -X8848Y-33174D01* -X8864Y-33165D01* -X8879Y-33153D01* -X8892Y-33141D01* -X8903Y-33127D01* -X8908Y-33121D01* -X8912Y-33114D01* -X8916Y-33122D01* -X8925Y-33138D01* -X8936Y-33152D01* -X8949Y-33166D01* -X8964Y-33178D01* -X8981Y-33188D01* -X8999Y-33198D01* -X9019Y-33207D01* -X9041Y-33214D01* -X9064Y-33220D01* -X9090Y-33224D01* -X9103Y-33226D01* -X9109Y-33227D01* -X9117Y-33227D01* -X9126Y-33227D01* -X9136Y-33228D01* -X9147Y-33228D01* -X9147Y-33228D01* -X9147Y-33228D01* -G37* -G36* -X8811Y-34981D02* -X8825Y-34981D01* -X8839Y-34981D01* -X8852Y-34981D01* -X8864Y-34981D01* -X8874Y-34981D01* -X8883Y-34981D01* -X8891Y-34980D01* -X8896Y-34980D01* -X8900Y-34980D01* -X8902Y-34980D01* -X8902Y-34980D01* -X8902Y-34979D01* -X8900Y-34977D01* -X8898Y-34973D01* -X8898Y-34973D01* -X8890Y-34960D01* -X8884Y-34946D01* -X8879Y-34932D01* -X8876Y-34917D01* -X8874Y-34903D01* -X8874Y-34897D01* -X8875Y-34882D01* -X8878Y-34867D01* -X8883Y-34854D01* -X8890Y-34841D01* -X8899Y-34829D01* -X8901Y-34827D01* -X8913Y-34816D01* -X8927Y-34806D01* -X8942Y-34798D01* -X8959Y-34790D01* -X8979Y-34784D01* -X9000Y-34779D01* -X9023Y-34775D01* -X9048Y-34772D01* -X9068Y-34771D01* -X9072Y-34771D01* -X9078Y-34770D01* -X9086Y-34770D01* -X9096Y-34770D01* -X9108Y-34770D01* -X9121Y-34770D01* -X9136Y-34770D01* -X9152Y-34770D01* -X9169Y-34770D01* -X9187Y-34770D01* -X9207Y-34770D01* -X9227Y-34770D01* -X9248Y-34770D01* -X9263Y-34770D01* -X9436Y-34770D01* -X9436Y-34690D01* -X9436Y-34610D01* -X9069Y-34610D01* -X8701Y-34610D01* -X8701Y-34690D01* -X8701Y-34770D01* -X8761Y-34770D01* -X8820Y-34770D01* -X8807Y-34776D01* -X8792Y-34783D01* -X8778Y-34791D01* -X8764Y-34799D01* -X8751Y-34808D01* -X8739Y-34817D01* -X8729Y-34826D01* -X8721Y-34834D01* -X8721Y-34835D01* -X8710Y-34849D01* -X8701Y-34863D01* -X8694Y-34878D01* -X8689Y-34894D01* -X8686Y-34911D01* -X8684Y-34928D01* -X8685Y-34947D01* -X8687Y-34967D01* -X8688Y-34974D01* -X8689Y-34981D01* -X8796Y-34981D01* -X8811Y-34981D01* -X8811Y-34981D01* -X8811Y-34981D01* -G37* -G36* -X5715Y-35951D02* -X5716Y-35951D01* -X5716Y-35950D01* -X5714Y-35948D01* -X5711Y-35945D01* -X5709Y-35944D01* -X5706Y-35941D01* -X5703Y-35940D01* -X5702Y-35940D01* -X5703Y-35941D01* -X5706Y-35944D01* -X5707Y-35945D01* -X5710Y-35948D01* -X5713Y-35950D01* -X5715Y-35951D01* -X5715Y-35951D01* -X5715Y-35951D01* -G37* -G36* -X32058Y-35849D02* -X32059Y-35849D01* -X32061Y-35847D01* -X32065Y-35844D01* -X32071Y-35839D01* -X32077Y-35834D01* -X32085Y-35828D01* -X32094Y-35820D01* -X32103Y-35812D01* -X32114Y-35804D01* -X32125Y-35794D01* -X32137Y-35785D01* -X32143Y-35779D01* -X32155Y-35769D01* -X32166Y-35760D01* -X32177Y-35751D01* -X32187Y-35742D01* -X32196Y-35735D01* -X32204Y-35728D01* -X32212Y-35722D01* -X32218Y-35717D01* -X32222Y-35713D01* -X32226Y-35710D01* -X32228Y-35709D01* -X32228Y-35709D01* -X32230Y-35710D01* -X32233Y-35712D01* -X32238Y-35714D01* -X32245Y-35718D01* -X32253Y-35722D01* -X32262Y-35727D01* -X32272Y-35733D01* -X32283Y-35739D01* -X32295Y-35745D01* -X32308Y-35751D01* -X32320Y-35758D01* -X32334Y-35765D01* -X32347Y-35772D01* -X32360Y-35780D01* -X32374Y-35787D01* -X32387Y-35793D01* -X32399Y-35800D01* -X32411Y-35806D01* -X32422Y-35812D01* -X32432Y-35818D01* -X32442Y-35823D01* -X32450Y-35827D01* -X32457Y-35831D01* -X32462Y-35833D01* -X32466Y-35835D01* -X32468Y-35836D01* -X32468Y-35837D01* -X32469Y-35836D01* -X32470Y-35835D01* -X32472Y-35832D01* -X32474Y-35828D01* -X32478Y-35823D01* -X32482Y-35816D01* -X32487Y-35808D01* -X32492Y-35798D01* -X32499Y-35787D01* -X32507Y-35774D01* -X32516Y-35759D01* -X32519Y-35753D01* -X32526Y-35741D01* -X32533Y-35729D01* -X32540Y-35717D01* -X32546Y-35707D01* -X32552Y-35697D01* -X32557Y-35689D01* -X32561Y-35682D01* -X32564Y-35676D01* -X32567Y-35672D01* -X32568Y-35669D01* -X32569Y-35668D01* -X32570Y-35668D01* -X32573Y-35670D01* -X32577Y-35672D01* -X32584Y-35675D01* -X32591Y-35678D01* -X32600Y-35682D01* -X32610Y-35687D01* -X32620Y-35692D01* -X32632Y-35698D01* -X32643Y-35704D01* -X32656Y-35710D01* -X32668Y-35716D01* -X32681Y-35722D01* -X32694Y-35729D01* -X32706Y-35735D01* -X32718Y-35741D01* -X32730Y-35747D01* -X32740Y-35753D01* -X32750Y-35758D01* -X32759Y-35762D01* -X32767Y-35767D01* -X32773Y-35770D01* -X32778Y-35773D01* -X32782Y-35775D01* -X32782Y-35775D01* -X32787Y-35778D01* -X32791Y-35781D01* -X32794Y-35782D01* -X32795Y-35783D01* -X32795Y-35783D01* -X32796Y-35782D01* -X32796Y-35778D01* -X32797Y-35773D01* -X32799Y-35766D01* -X32800Y-35757D01* -X32802Y-35747D01* -X32805Y-35734D01* -X32807Y-35721D01* -X32810Y-35706D01* -X32813Y-35689D01* -X32816Y-35672D01* -X32820Y-35653D01* -X32823Y-35634D01* -X32827Y-35613D01* -X32831Y-35592D01* -X32835Y-35570D01* -X32838Y-35554D01* -X32843Y-35527D01* -X32848Y-35502D01* -X32852Y-35480D01* -X32856Y-35459D01* -X32859Y-35440D01* -X32862Y-35423D01* -X32865Y-35408D01* -X32868Y-35394D01* -X32870Y-35382D01* -X32872Y-35371D01* -X32874Y-35361D01* -X32876Y-35352D01* -X32877Y-35345D01* -X32879Y-35339D01* -X32879Y-35333D01* -X32880Y-35329D01* -X32881Y-35325D01* -X32882Y-35322D01* -X32883Y-35319D01* -X32884Y-35317D01* -X32884Y-35315D01* -X32885Y-35314D01* -X32886Y-35313D01* -X32887Y-35311D01* -X32888Y-35310D01* -X32889Y-35309D01* -X32889Y-35309D01* -X32892Y-35305D01* -X32896Y-35302D01* -X32898Y-35300D01* -X32900Y-35299D01* -X32902Y-35298D01* -X32906Y-35297D01* -X32911Y-35294D01* -X32917Y-35292D01* -X32925Y-35289D01* -X32934Y-35285D01* -X32944Y-35280D01* -X32956Y-35275D01* -X32970Y-35270D01* -X32985Y-35264D01* -X33003Y-35257D01* -X33021Y-35249D01* -X33042Y-35241D01* -X33065Y-35232D01* -X33089Y-35222D01* -X33116Y-35212D01* -X33144Y-35200D01* -X33170Y-35190D01* -X33195Y-35180D01* -X33217Y-35171D01* -X33238Y-35163D01* -X33257Y-35155D01* -X33274Y-35148D01* -X33290Y-35142D01* -X33304Y-35137D01* -X33316Y-35132D01* -X33328Y-35127D01* -X33338Y-35123D01* -X33347Y-35120D01* -X33354Y-35117D01* -X33361Y-35114D01* -X33367Y-35112D01* -X33372Y-35110D01* -X33376Y-35108D01* -X33380Y-35107D01* -X33383Y-35106D01* -X33385Y-35105D01* -X33387Y-35105D01* -X33389Y-35104D01* -X33390Y-35104D01* -X33391Y-35104D01* -X33392Y-35104D01* -X33393Y-35104D01* -X33403Y-35104D01* -X33412Y-35106D01* -X33417Y-35108D01* -X33419Y-35109D01* -X33422Y-35111D01* -X33426Y-35114D01* -X33433Y-35119D01* -X33441Y-35124D01* -X33450Y-35130D01* -X33461Y-35137D01* -X33473Y-35146D01* -X33486Y-35155D01* -X33500Y-35164D01* -X33516Y-35175D01* -X33532Y-35186D01* -X33549Y-35198D01* -X33568Y-35211D01* -X33587Y-35224D01* -X33606Y-35237D01* -X33627Y-35251D01* -X33647Y-35265D01* -X33668Y-35279D01* -X33690Y-35294D01* -X33710Y-35308D01* -X33731Y-35322D01* -X33750Y-35336D01* -X33770Y-35349D01* -X33788Y-35361D01* -X33805Y-35373D01* -X33822Y-35384D01* -X33837Y-35395D01* -X33852Y-35405D01* -X33865Y-35414D01* -X33877Y-35422D01* -X33888Y-35429D01* -X33898Y-35436D01* -X33906Y-35441D01* -X33912Y-35446D01* -X33917Y-35449D01* -X33920Y-35451D01* -X33922Y-35452D01* -X33926Y-35454D01* -X33929Y-35455D01* -X33933Y-35455D01* -X33937Y-35455D01* -X33938Y-35455D01* -X33939Y-35455D01* -X33941Y-35455D01* -X33942Y-35455D01* -X33943Y-35455D01* -X33944Y-35455D01* -X33945Y-35455D01* -X33947Y-35454D01* -X33949Y-35453D01* -X33951Y-35452D01* -X33953Y-35450D01* -X33956Y-35448D01* -X33959Y-35445D01* -X33963Y-35442D01* -X33967Y-35438D01* -X33972Y-35434D01* -X33977Y-35429D01* -X33984Y-35422D01* -X33991Y-35416D01* -X33999Y-35408D01* -X34008Y-35399D01* -X34017Y-35389D01* -X34028Y-35379D01* -X34040Y-35367D01* -X34053Y-35354D01* -X34067Y-35339D01* -X34083Y-35324D01* -X34100Y-35307D01* -X34118Y-35289D01* -X34137Y-35269D01* -X34158Y-35248D01* -X34181Y-35226D01* -X34181Y-35225D01* -X34200Y-35206D01* -X34219Y-35188D01* -X34237Y-35170D01* -X34254Y-35153D01* -X34271Y-35136D01* -X34287Y-35120D01* -X34302Y-35104D01* -X34317Y-35089D01* -X34331Y-35076D01* -X34344Y-35063D01* -X34356Y-35051D01* -X34366Y-35040D01* -X34376Y-35030D01* -X34385Y-35021D01* -X34392Y-35013D01* -X34398Y-35007D01* -X34403Y-35002D01* -X34407Y-34999D01* -X34408Y-34997D01* -X34409Y-34996D01* -X34412Y-34990D01* -X34415Y-34982D01* -X34415Y-34974D01* -X34414Y-34966D01* -X34414Y-34964D01* -X34413Y-34963D01* -X34411Y-34960D01* -X34408Y-34955D01* -X34404Y-34949D01* -X34398Y-34941D01* -X34392Y-34931D01* -X34385Y-34921D01* -X34376Y-34909D01* -X34367Y-34895D01* -X34358Y-34881D01* -X34347Y-34866D01* -X34336Y-34849D01* -X34324Y-34832D01* -X34312Y-34814D01* -X34299Y-34795D01* -X34285Y-34775D01* -X34271Y-34755D01* -X34257Y-34734D01* -X34245Y-34716D01* -X34230Y-34695D01* -X34216Y-34674D01* -X34202Y-34654D01* -X34189Y-34635D01* -X34176Y-34616D01* -X34163Y-34598D01* -X34152Y-34581D01* -X34141Y-34565D01* -X34130Y-34550D01* -X34120Y-34536D01* -X34111Y-34523D01* -X34103Y-34511D01* -X34096Y-34500D01* -X34090Y-34491D01* -X34085Y-34484D01* -X34081Y-34478D01* -X34078Y-34473D01* -X34076Y-34471D01* -X34075Y-34470D01* -X34072Y-34463D01* -X34070Y-34455D01* -X34070Y-34446D01* -X34072Y-34437D01* -X34075Y-34429D01* -X34075Y-34428D01* -X34076Y-34426D01* -X34078Y-34422D01* -X34081Y-34417D01* -X34084Y-34409D01* -X34089Y-34401D01* -X34094Y-34391D01* -X34100Y-34380D01* -X34106Y-34368D01* -X34113Y-34355D01* -X34120Y-34342D01* -X34128Y-34328D01* -X34135Y-34315D01* -X34191Y-34209D01* -X34191Y-34199D01* -X34191Y-34194D01* -X34191Y-34191D01* -X34190Y-34189D01* -X34189Y-34186D01* -X34188Y-34185D01* -X34185Y-34182D01* -X34183Y-34179D01* -X34182Y-34178D01* -X34180Y-34178D01* -X34177Y-34176D01* -X34172Y-34174D01* -X34165Y-34171D01* -X34156Y-34167D01* -X34146Y-34163D01* -X34133Y-34158D01* -X34119Y-34152D01* -X34104Y-34145D01* -X34087Y-34138D01* -X34068Y-34131D01* -X34048Y-34122D01* -X34027Y-34114D01* -X34005Y-34104D01* -X33981Y-34094D01* -X33956Y-34084D01* -X33930Y-34073D01* -X33903Y-34062D01* -X33875Y-34051D01* -X33846Y-34039D01* -X33817Y-34026D01* -X33786Y-34014D01* -X33755Y-34001D01* -X33723Y-33988D01* -X33691Y-33974D01* -X33658Y-33961D01* -X33643Y-33955D01* -X33603Y-33938D01* -X33565Y-33922D01* -X33529Y-33907D01* -X33495Y-33893D01* -X33462Y-33880D01* -X33432Y-33867D01* -X33403Y-33855D01* -X33376Y-33844D01* -X33350Y-33834D01* -X33327Y-33824D01* -X33304Y-33815D01* -X33284Y-33806D01* -X33264Y-33798D01* -X33246Y-33791D01* -X33229Y-33784D01* -X33214Y-33778D01* -X33200Y-33772D01* -X33187Y-33767D01* -X33175Y-33762D01* -X33164Y-33757D01* -X33154Y-33753D01* -X33146Y-33750D01* -X33138Y-33747D01* -X33131Y-33744D01* -X33124Y-33742D01* -X33119Y-33740D01* -X33114Y-33738D01* -X33110Y-33736D01* -X33106Y-33735D01* -X33103Y-33734D01* -X33100Y-33733D01* -X33098Y-33733D01* -X33096Y-33732D01* -X33095Y-33732D01* -X33094Y-33732D01* -X33093Y-33732D01* -X33092Y-33732D01* -X33091Y-33733D01* -X33091Y-33733D01* -X33090Y-33733D01* -X33089Y-33734D01* -X33089Y-33734D01* -X33088Y-33734D01* -X33082Y-33736D01* -X33082Y-33837D01* -X33082Y-33937D01* -X33018Y-34121D01* -X33011Y-34141D01* -X33005Y-34160D01* -X32998Y-34178D01* -X32992Y-34196D01* -X32986Y-34212D01* -X32981Y-34228D01* -X32976Y-34242D01* -X32971Y-34256D01* -X32967Y-34268D01* -X32963Y-34278D01* -X32960Y-34287D01* -X32958Y-34295D01* -X32956Y-34300D01* -X32954Y-34304D01* -X32954Y-34306D01* -X32953Y-34306D01* -X32952Y-34305D01* -X32950Y-34304D01* -X32945Y-34301D01* -X32939Y-34297D01* -X32932Y-34292D01* -X32923Y-34287D01* -X32913Y-34280D01* -X32902Y-34273D01* -X32890Y-34264D01* -X32877Y-34256D01* -X32863Y-34246D01* -X32849Y-34237D01* -X32833Y-34226D01* -X32817Y-34216D01* -X32809Y-34210D01* -X32664Y-34113D01* -X32643Y-34120D01* -X32635Y-34123D01* -X32626Y-34125D01* -X32617Y-34128D01* -X32609Y-34131D01* -X32605Y-34132D01* -X32599Y-34133D01* -X32593Y-34135D01* -X32589Y-34136D01* -X32587Y-34137D01* -X32586Y-34137D01* -X32586Y-34138D01* -X32584Y-34141D01* -X32582Y-34146D01* -X32579Y-34153D01* -X32575Y-34161D01* -X32571Y-34171D01* -X32566Y-34183D01* -X32560Y-34196D01* -X32553Y-34210D01* -X32546Y-34226D01* -X32539Y-34243D01* -X32531Y-34261D01* -X32522Y-34280D01* -X32513Y-34301D01* -X32504Y-34322D01* -X32494Y-34344D01* -X32484Y-34366D01* -X32474Y-34390D01* -X32463Y-34414D01* -X32456Y-34428D01* -X32446Y-34453D01* -X32435Y-34476D01* -X32425Y-34499D01* -X32415Y-34522D01* -X32405Y-34543D01* -X32396Y-34564D01* -X32387Y-34584D01* -X32379Y-34603D01* -X32371Y-34620D01* -X32363Y-34637D01* -X32357Y-34652D01* -X32351Y-34666D01* -X32345Y-34678D01* -X32340Y-34689D01* -X32336Y-34699D01* -X32332Y-34706D01* -X32330Y-34712D01* -X32328Y-34716D01* -X32327Y-34719D01* -X32327Y-34719D01* -X32327Y-34718D01* -X32327Y-34715D01* -X32327Y-34709D01* -X32327Y-34702D01* -X32327Y-34693D01* -X32327Y-34683D01* -X32328Y-34671D01* -X32328Y-34657D01* -X32328Y-34642D01* -X32329Y-34626D01* -X32329Y-34609D01* -X32329Y-34591D01* -X32330Y-34572D01* -X32330Y-34553D01* -X32330Y-34532D01* -X32331Y-34512D01* -X32331Y-34491D01* -X32332Y-34469D01* -X32332Y-34448D01* -X32333Y-34426D01* -X32333Y-34405D01* -X32333Y-34383D01* -X32334Y-34362D01* -X32334Y-34342D01* -X32335Y-34322D01* -X32335Y-34303D01* -X32335Y-34284D01* -X32336Y-34266D01* -X32336Y-34250D01* -X32336Y-34234D01* -X32337Y-34220D01* -X32337Y-34207D01* -X32337Y-34196D01* -X32337Y-34186D01* -X32338Y-34178D01* -X32338Y-34171D01* -X32338Y-34150D01* -X32317Y-34146D01* -X32309Y-34145D01* -X32302Y-34144D01* -X32294Y-34143D01* -X32288Y-34142D01* -X32285Y-34141D01* -X32280Y-34140D01* -X32276Y-34140D01* -X32274Y-34139D01* -X32273Y-34139D01* -X32273Y-34140D01* -X32271Y-34143D01* -X32269Y-34148D01* -X32267Y-34155D01* -X32264Y-34164D01* -X32260Y-34174D01* -X32255Y-34186D01* -X32251Y-34200D01* -X32245Y-34214D01* -X32239Y-34231D01* -X32233Y-34248D01* -X32226Y-34267D01* -X32219Y-34287D01* -X32211Y-34308D01* -X32203Y-34330D01* -X32195Y-34353D01* -X32186Y-34377D01* -X32177Y-34401D01* -X32168Y-34426D01* -X32159Y-34451D01* -X32157Y-34456D01* -X32148Y-34481D01* -X32139Y-34506D01* -X32130Y-34531D01* -X32122Y-34554D01* -X32113Y-34577D01* -X32105Y-34599D01* -X32098Y-34620D01* -X32090Y-34640D01* -X32083Y-34659D01* -X32077Y-34677D01* -X32071Y-34694D01* -X32066Y-34709D01* -X32061Y-34722D01* -X32056Y-34734D01* -X32052Y-34745D01* -X32049Y-34754D01* -X32046Y-34761D01* -X32044Y-34766D01* -X32043Y-34769D01* -X32043Y-34770D01* -X32043Y-34769D01* -X32043Y-34766D01* -X32044Y-34760D01* -X32045Y-34753D01* -X32046Y-34744D01* -X32047Y-34733D01* -X32048Y-34720D01* -X32050Y-34706D01* -X32052Y-34690D01* -X32053Y-34673D01* -X32056Y-34655D01* -X32058Y-34635D01* -X32060Y-34614D01* -X32063Y-34592D01* -X32065Y-34569D01* -X32068Y-34545D01* -X32071Y-34521D01* -X32073Y-34496D01* -X32076Y-34470D01* -X32077Y-34460D01* -X32080Y-34434D01* -X32083Y-34408D01* -X32086Y-34384D01* -X32089Y-34360D01* -X32091Y-34336D01* -X32094Y-34314D01* -X32096Y-34293D01* -X32099Y-34273D01* -X32101Y-34254D01* -X32103Y-34236D01* -X32105Y-34220D01* -X32106Y-34205D01* -X32108Y-34192D01* -X32109Y-34181D01* -X32110Y-34171D01* -X32111Y-34163D01* -X32112Y-34157D01* -X32112Y-34153D01* -X32112Y-34151D01* -X32112Y-34151D01* -X32111Y-34151D01* -X32108Y-34153D01* -X32104Y-34155D01* -X32098Y-34159D01* -X32091Y-34164D01* -X32082Y-34169D01* -X32072Y-34175D01* -X32060Y-34182D01* -X32048Y-34189D01* -X32035Y-34197D01* -X32021Y-34206D01* -X32006Y-34215D01* -X31991Y-34224D01* -X31984Y-34229D01* -X31969Y-34238D01* -X31954Y-34247D01* -X31940Y-34256D01* -X31926Y-34264D01* -X31913Y-34272D01* -X31902Y-34279D01* -X31891Y-34285D01* -X31882Y-34291D01* -X31874Y-34296D01* -X31867Y-34300D01* -X31862Y-34303D01* -X31858Y-34305D01* -X31856Y-34306D01* -X31856Y-34306D01* -X31856Y-34305D01* -X31855Y-34302D01* -X31853Y-34297D01* -X31851Y-34290D01* -X31849Y-34282D01* -X31846Y-34272D01* -X31843Y-34261D01* -X31840Y-34248D01* -X31836Y-34235D01* -X31832Y-34220D01* -X31827Y-34205D01* -X31823Y-34189D01* -X31820Y-34179D01* -X31784Y-34051D01* -X31817Y-33953D01* -X31822Y-33939D01* -X31827Y-33925D01* -X31831Y-33913D01* -X31835Y-33901D01* -X31839Y-33890D01* -X31842Y-33880D01* -X31845Y-33872D01* -X31847Y-33865D01* -X31849Y-33860D01* -X31850Y-33856D01* -X31850Y-33855D01* -X31850Y-33855D01* -X31850Y-33854D01* -X31848Y-33851D01* -X31846Y-33847D01* -X31843Y-33842D01* -X31841Y-33839D01* -X31828Y-33818D01* -X31816Y-33797D01* -X31804Y-33774D01* -X31793Y-33752D01* -X31787Y-33738D01* -X31784Y-33731D01* -X31782Y-33726D01* -X31780Y-33723D01* -X31779Y-33721D01* -X31778Y-33721D01* -X31778Y-33721D01* -X31776Y-33722D01* -X31772Y-33724D01* -X31767Y-33727D01* -X31761Y-33730D01* -X31755Y-33734D01* -X31748Y-33738D01* -X31741Y-33742D01* -X31735Y-33746D01* -X31733Y-33748D01* -X31721Y-33756D01* -X31709Y-33764D01* -X31698Y-33772D01* -X31686Y-33781D01* -X31674Y-33791D01* -X31660Y-33803D01* -X31656Y-33806D01* -X31644Y-33816D01* -X31634Y-33823D01* -X31624Y-33829D01* -X31615Y-33833D01* -X31606Y-33836D01* -X31599Y-33836D01* -X31591Y-33835D01* -X31586Y-33833D01* -X31580Y-33828D01* -X31574Y-33822D01* -X31569Y-33813D01* -X31564Y-33803D01* -X31560Y-33790D01* -X31557Y-33776D01* -X31556Y-33763D01* -X31555Y-33756D01* -X31554Y-33748D01* -X31554Y-33738D01* -X31554Y-33727D01* -X31554Y-33716D01* -X31554Y-33705D01* -X31554Y-33695D01* -X31554Y-33686D01* -X31555Y-33679D01* -X31555Y-33678D01* -X31555Y-33676D01* -X31555Y-33673D01* -X31555Y-33669D01* -X31555Y-33663D01* -X31555Y-33656D01* -X31555Y-33648D01* -X31554Y-33638D01* -X31554Y-33626D01* -X31554Y-33613D01* -X31553Y-33599D01* -X31553Y-33582D01* -X31552Y-33564D01* -X31552Y-33544D01* -X31551Y-33522D01* -X31550Y-33497D01* -X31549Y-33471D01* -X31549Y-33454D01* -X31548Y-33442D01* -X29929Y-33442D01* -X29929Y-33442D01* -X29929Y-33441D01* -X29929Y-33439D01* -X29929Y-33436D01* -X29929Y-33432D01* -X29929Y-33428D01* -X29929Y-33422D01* -X29929Y-33416D01* -X29929Y-33408D01* -X29929Y-33399D01* -X29929Y-33390D01* -X29928Y-33379D01* -X29928Y-33367D01* -X29928Y-33354D01* -X29928Y-33340D01* -X29928Y-33324D01* -X29928Y-33307D01* -X29928Y-33289D01* -X29928Y-33269D01* -X29928Y-33249D01* -X29928Y-33226D01* -X29928Y-33202D01* -X29928Y-33177D01* -X29928Y-33150D01* -X29928Y-33122D01* -X29928Y-33092D01* -X29928Y-33061D01* -X29928Y-33028D01* -X29928Y-32993D01* -X29928Y-32956D01* -X29928Y-32918D01* -X29928Y-32878D01* -X29928Y-32836D01* -X29928Y-32792D01* -X29928Y-32747D01* -X29928Y-32699D01* -X29928Y-32650D01* -X29928Y-32598D01* -X29928Y-32545D01* -X29928Y-32489D01* -X29928Y-32431D01* -X29928Y-32372D01* -X29928Y-32310D01* -X29928Y-32246D01* -X29928Y-32205D01* -X29928Y-30968D01* -X31127Y-30968D01* -X31191Y-30968D01* -X31253Y-30968D01* -X31313Y-30968D01* -X31371Y-30968D01* -X31427Y-30968D01* -X31480Y-30968D01* -X31532Y-30968D01* -X31582Y-30968D01* -X31629Y-30968D01* -X31675Y-30968D01* -X31719Y-30968D01* -X31761Y-30968D01* -X31801Y-30968D01* -X31840Y-30968D01* -X31876Y-30968D01* -X31911Y-30968D01* -X31944Y-30968D01* -X31976Y-30968D01* -X32006Y-30968D01* -X32034Y-30968D01* -X32061Y-30968D01* -X32086Y-30969D01* -X32110Y-30969D01* -X32132Y-30969D01* -X32153Y-30969D01* -X32173Y-30969D01* -X32191Y-30969D01* -X32208Y-30969D01* -X32223Y-30969D01* -X32237Y-30969D01* -X32250Y-30969D01* -X32262Y-30969D01* -X32273Y-30969D01* -X32283Y-30969D01* -X32291Y-30969D01* -X32299Y-30969D01* -X32305Y-30969D01* -X32310Y-30969D01* -X32315Y-30969D01* -X32319Y-30969D01* -X32321Y-30969D01* -X32323Y-30970D01* -X32324Y-30970D01* -X32324Y-30970D01* -X32324Y-30971D01* -X32322Y-30974D01* -X32319Y-30978D01* -X32315Y-30984D01* -X32310Y-30991D01* -X32304Y-30999D01* -X32298Y-31009D01* -X32291Y-31019D01* -X32284Y-31030D01* -X32276Y-31042D01* -X32268Y-31054D01* -X32212Y-31137D01* -X32151Y-31055D01* -X32142Y-31043D01* -X32133Y-31031D01* -X32125Y-31021D01* -X32117Y-31011D01* -X32110Y-31002D01* -X32104Y-30993D01* -X32099Y-30987D01* -X32094Y-30981D01* -X32091Y-30977D01* -X32089Y-30974D01* -X32088Y-30973D01* -X32088Y-30973D01* -X32086Y-30974D01* -X32084Y-30976D01* -X32081Y-30979D01* -X32078Y-30983D01* -X32075Y-30986D01* -X32073Y-30989D01* -X32073Y-30990D01* -X32072Y-30992D01* -X32072Y-30995D01* -X32071Y-31001D01* -X32069Y-31008D01* -X32068Y-31018D01* -X32065Y-31029D01* -X32063Y-31042D01* -X32060Y-31056D01* -X32057Y-31072D01* -X32054Y-31089D01* -X32051Y-31108D01* -X32047Y-31127D01* -X32043Y-31148D01* -X32039Y-31170D01* -X32035Y-31193D01* -X32031Y-31216D01* -X32026Y-31241D01* -X32021Y-31266D01* -X32017Y-31292D01* -X32015Y-31301D01* -X32010Y-31327D01* -X32006Y-31352D01* -X32001Y-31377D01* -X31997Y-31401D01* -X31992Y-31424D01* -X31988Y-31446D01* -X31984Y-31467D01* -X31980Y-31487D01* -X31977Y-31506D01* -X31974Y-31524D01* -X31970Y-31541D01* -X31968Y-31555D01* -X31965Y-31569D01* -X31963Y-31581D01* -X31961Y-31591D01* -X31959Y-31599D01* -X31958Y-31605D01* -X31957Y-31609D01* -X31957Y-31612D01* -X31957Y-31612D01* -X31953Y-31619D01* -X31949Y-31626D01* -X31942Y-31632D01* -X31941Y-31633D01* -X31941Y-31634D01* -X31940Y-31634D01* -X31939Y-31635D01* -X31937Y-31636D01* -X31935Y-31637D01* -X31933Y-31638D01* -X31930Y-31639D01* -X31927Y-31641D01* -X31923Y-31643D01* -X31918Y-31645D01* -X31913Y-31648D01* -X31906Y-31651D01* -X31898Y-31654D01* -X31890Y-31658D01* -X31880Y-31662D01* -X31869Y-31667D01* -X31856Y-31672D01* -X31842Y-31678D01* -X31827Y-31685D01* -X31810Y-31692D01* -X31791Y-31700D01* -X31770Y-31709D01* -X31748Y-31719D01* -X31724Y-31729D01* -X31709Y-31736D01* -X31482Y-31833D01* -X31468Y-31833D01* -X31463Y-31833D01* -X31458Y-31833D01* -X31455Y-31832D01* -X31453Y-31831D01* -X31450Y-31830D01* -X31448Y-31829D01* -X31447Y-31828D01* -X31444Y-31826D01* -X31439Y-31823D01* -X31432Y-31819D01* -X31424Y-31813D01* -X31414Y-31807D01* -X31404Y-31799D01* -X31391Y-31791D01* -X31378Y-31782D01* -X31363Y-31772D01* -X31348Y-31761D01* -X31331Y-31750D01* -X31313Y-31738D01* -X31295Y-31725D01* -X31275Y-31712D01* -X31255Y-31698D01* -X31235Y-31684D01* -X31214Y-31669D01* -X31192Y-31655D01* -X31183Y-31648D01* -X31161Y-31633D01* -X31140Y-31619D01* -X31119Y-31604D01* -X31099Y-31591D01* -X31079Y-31577D01* -X31060Y-31564D01* -X31042Y-31552D01* -X31025Y-31540D01* -X31009Y-31529D01* -X30994Y-31519D01* -X30980Y-31510D01* -X30967Y-31501D01* -X30956Y-31493D01* -X30946Y-31486D01* -X30937Y-31480D01* -X30930Y-31475D01* -X30925Y-31472D01* -X30921Y-31469D01* -X30918Y-31468D01* -X30918Y-31467D01* -X30915Y-31466D01* -X30912Y-31465D01* -X30908Y-31465D01* -X30904Y-31465D01* -X30904Y-31465D01* -X30900Y-31465D01* -X30898Y-31465D01* -X30895Y-31466D01* -X30892Y-31467D01* -X30890Y-31468D01* -X30887Y-31470D01* -X30883Y-31472D01* -X30879Y-31476D01* -X30875Y-31480D01* -X30869Y-31485D01* -X30862Y-31492D01* -X30854Y-31500D01* -X30845Y-31509D01* -X30843Y-31511D01* -X30835Y-31519D01* -X30828Y-31527D01* -X30821Y-31533D01* -X30815Y-31539D01* -X30810Y-31544D01* -X30806Y-31548D01* -X30803Y-31551D01* -X30802Y-31553D01* -X30802Y-31553D01* -X30802Y-31554D01* -X30804Y-31557D01* -X30806Y-31561D01* -X30810Y-31567D01* -X30814Y-31575D01* -X30819Y-31584D01* -X30824Y-31594D01* -X30830Y-31605D01* -X30837Y-31617D01* -X30844Y-31629D01* -X30851Y-31643D01* -X30856Y-31651D01* -X30863Y-31665D01* -X30871Y-31678D01* -X30878Y-31690D01* -X30884Y-31702D01* -X30890Y-31712D01* -X30895Y-31722D01* -X30900Y-31730D01* -X30903Y-31737D01* -X30906Y-31742D01* -X30908Y-31746D01* -X30909Y-31748D01* -X30910Y-31748D01* -X30909Y-31748D01* -X30906Y-31746D01* -X30902Y-31743D01* -X30896Y-31739D01* -X30890Y-31734D01* -X30882Y-31729D01* -X30873Y-31723D01* -X30863Y-31716D01* -X30853Y-31709D01* -X30843Y-31701D01* -X30832Y-31694D01* -X30820Y-31686D01* -X30809Y-31678D01* -X30798Y-31670D01* -X30787Y-31663D01* -X30777Y-31655D01* -X30767Y-31648D01* -X30758Y-31642D01* -X30750Y-31636D01* -X30742Y-31631D01* -X30738Y-31628D01* -X30731Y-31623D01* -X30679Y-31675D01* -X30667Y-31687D01* -X30657Y-31697D01* -X30649Y-31705D01* -X30642Y-31712D01* -X30636Y-31718D01* -X30632Y-31723D01* -X30629Y-31726D01* -X30627Y-31728D01* -X30627Y-31730D01* -X30627Y-31730D01* -X30627Y-31731D01* -X30629Y-31734D01* -X30631Y-31738D01* -X30635Y-31745D01* -X30639Y-31752D01* -X30644Y-31762D01* -X30650Y-31772D01* -X30656Y-31784D01* -X30663Y-31796D01* -X30670Y-31810D01* -X30678Y-31824D01* -X30686Y-31840D01* -X30694Y-31855D01* -X30703Y-31872D01* -X30712Y-31888D01* -X30721Y-31905D01* -X30730Y-31922D01* -X30740Y-31939D01* -X30749Y-31956D01* -X30758Y-31972D01* -X30767Y-31989D01* -X30775Y-32005D01* -X30784Y-32020D01* -X30791Y-32034D01* -X30799Y-32048D01* -X30806Y-32061D01* -X30813Y-32073D01* -X30818Y-32084D01* -X30824Y-32094D01* -X30828Y-32102D01* -X30832Y-32109D01* -X30835Y-32114D01* -X30837Y-32117D01* -X30837Y-32119D01* -X30837Y-32119D01* -X30835Y-32117D01* -X30832Y-32114D01* -X30827Y-32110D01* -X30823Y-32106D01* -X30822Y-32105D01* -X30811Y-32095D01* -X30799Y-32085D01* -X30786Y-32073D01* -X30773Y-32061D01* -X30759Y-32049D01* -X30745Y-32036D01* -X30730Y-32023D01* -X30716Y-32010D01* -X30701Y-31997D01* -X30686Y-31984D01* -X30671Y-31970D01* -X30656Y-31957D01* -X30642Y-31945D01* -X30628Y-31932D01* -X30614Y-31920D01* -X30601Y-31908D01* -X30589Y-31897D01* -X30577Y-31887D01* -X30566Y-31877D01* -X30556Y-31868D01* -X30547Y-31860D01* -X30539Y-31853D01* -X30533Y-31847D01* -X30527Y-31842D01* -X30523Y-31839D01* -X30521Y-31837D01* -X30520Y-31836D01* -X30520Y-31836D01* -X30519Y-31836D01* -X30516Y-31839D01* -X30513Y-31842D01* -X30508Y-31846D01* -X30503Y-31851D01* -X30497Y-31857D01* -X30491Y-31863D01* -X30485Y-31869D01* -X30479Y-31875D01* -X30474Y-31880D01* -X30469Y-31886D01* -X30465Y-31890D01* -X30462Y-31894D01* -X30460Y-31896D01* -X30459Y-31897D01* -X30460Y-31898D01* -X30462Y-31901D01* -X30465Y-31905D01* -X30469Y-31911D01* -X30475Y-31918D01* -X30481Y-31926D01* -X30488Y-31935D01* -X30496Y-31946D01* -X30504Y-31957D01* -X30514Y-31968D01* -X30523Y-31981D01* -X30533Y-31994D01* -X30535Y-31996D01* -X30545Y-32009D01* -X30554Y-32021D01* -X30563Y-32033D01* -X30572Y-32044D01* -X30580Y-32054D01* -X30587Y-32064D01* -X30593Y-32072D01* -X30599Y-32079D01* -X30603Y-32085D01* -X30606Y-32089D01* -X30608Y-32092D01* -X30609Y-32093D01* -X30609Y-32093D01* -X30608Y-32093D01* -X30605Y-32093D01* -X30600Y-32093D01* -X30594Y-32092D01* -X30587Y-32091D01* -X30579Y-32090D01* -X30570Y-32089D01* -X30561Y-32088D01* -X30552Y-32087D01* -X30544Y-32086D01* -X30536Y-32085D01* -X30529Y-32084D01* -X30523Y-32083D01* -X30518Y-32082D01* -X30515Y-32082D01* -X30514Y-32082D01* -X30513Y-32082D01* -X30512Y-32083D01* -X30513Y-32084D01* -X30515Y-32086D01* -X30518Y-32091D01* -X30522Y-32097D01* -X30527Y-32104D01* -X30533Y-32113D01* -X30540Y-32124D01* -X30548Y-32135D01* -X30557Y-32148D01* -X30566Y-32162D01* -X30576Y-32177D01* -X30587Y-32192D01* -X30599Y-32209D01* -X30611Y-32226D01* -X30623Y-32244D01* -X30636Y-32263D01* -X30649Y-32282D01* -X30653Y-32287D01* -X30666Y-32307D01* -X30679Y-32326D01* -X30692Y-32344D01* -X30704Y-32362D01* -X30716Y-32378D01* -X30727Y-32395D01* -X30737Y-32410D01* -X30747Y-32424D01* -X30756Y-32438D01* -X30764Y-32450D01* -X30772Y-32461D01* -X30778Y-32470D01* -X30784Y-32479D01* -X30789Y-32485D01* -X30792Y-32491D01* -X30795Y-32494D01* -X30796Y-32496D01* -X30796Y-32496D01* -X30799Y-32505D01* -X30800Y-32514D01* -X30799Y-32524D01* -X30797Y-32535D01* -X30796Y-32539D01* -X30793Y-32545D01* -X30790Y-32553D01* -X30786Y-32563D01* -X30781Y-32574D01* -X30776Y-32587D01* -X30771Y-32600D01* -X30765Y-32615D01* -X30758Y-32630D01* -X30752Y-32646D01* -X30745Y-32663D01* -X30738Y-32681D01* -X30730Y-32699D01* -X30723Y-32717D01* -X30715Y-32735D01* -X30708Y-32754D01* -X30700Y-32772D01* -X30693Y-32791D01* -X30685Y-32809D01* -X30678Y-32826D01* -X30671Y-32844D01* -X30664Y-32860D01* -X30658Y-32876D01* -X30651Y-32891D01* -X30646Y-32905D01* -X30640Y-32918D01* -X30636Y-32930D01* -X30631Y-32941D01* -X30628Y-32950D01* -X30624Y-32957D01* -X30622Y-32963D01* -X30620Y-32967D01* -X30619Y-32969D01* -X30619Y-32969D01* -X30616Y-32974D01* -X30612Y-32979D01* -X30607Y-32983D01* -X30602Y-32987D01* -X30597Y-32990D01* -X30597Y-32991D01* -X30596Y-32991D01* -X30595Y-32991D01* -X30593Y-32992D01* -X30590Y-32993D01* -X30587Y-32993D01* -X30583Y-32994D01* -X30579Y-32995D01* -X30573Y-32996D01* -X30566Y-32998D01* -X30558Y-32999D01* -X30549Y-33001D01* -X30539Y-33003D01* -X30528Y-33005D01* -X30515Y-33007D01* -X30501Y-33010D01* -X30485Y-33013D01* -X30468Y-33016D01* -X30449Y-33020D01* -X30428Y-33024D01* -X30406Y-33028D01* -X30381Y-33033D01* -X30355Y-33038D01* -X30326Y-33043D01* -X30298Y-33048D01* -X30273Y-33053D01* -X30248Y-33057D01* -X30224Y-33062D01* -X30201Y-33066D01* -X30178Y-33070D01* -X30157Y-33074D01* -X30136Y-33078D01* -X30117Y-33082D01* -X30099Y-33085D01* -X30082Y-33088D01* -X30067Y-33091D01* -X30053Y-33094D01* -X30041Y-33096D01* -X30030Y-33098D01* -X30021Y-33100D01* -X30014Y-33101D01* -X30009Y-33102D01* -X30005Y-33103D01* -X30004Y-33103D01* -X30005Y-33104D01* -X30008Y-33106D01* -X30012Y-33109D01* -X30017Y-33113D01* -X30024Y-33117D01* -X30032Y-33123D01* -X30040Y-33129D01* -X30050Y-33136D01* -X30060Y-33143D01* -X30071Y-33150D01* -X30082Y-33158D01* -X30094Y-33166D01* -X30105Y-33173D01* -X30117Y-33181D01* -X30128Y-33189D01* -X30129Y-33189D01* -X30156Y-33207D01* -X30185Y-33226D01* -X30214Y-33245D01* -X30244Y-33265D01* -X30275Y-33284D01* -X30306Y-33304D01* -X30336Y-33323D01* -X30365Y-33341D01* -X30367Y-33343D01* -X30373Y-33346D01* -X30377Y-33349D01* -X30379Y-33351D01* -X30380Y-33352D01* -X30380Y-33352D01* -X30378Y-33353D01* -X30375Y-33353D01* -X30370Y-33355D01* -X30362Y-33356D01* -X30353Y-33358D01* -X30343Y-33360D01* -X30330Y-33362D01* -X30317Y-33365D01* -X30302Y-33368D01* -X30285Y-33371D01* -X30268Y-33375D01* -X30249Y-33379D01* -X30230Y-33382D01* -X30210Y-33386D01* -X30188Y-33391D01* -X30167Y-33395D01* -X30154Y-33397D01* -X30132Y-33402D01* -X30111Y-33406D01* -X30090Y-33410D01* -X30070Y-33414D01* -X30051Y-33418D01* -X30033Y-33421D01* -X30016Y-33425D01* -X30000Y-33428D01* -X29986Y-33431D01* -X29973Y-33433D01* -X29961Y-33436D01* -X29951Y-33438D01* -X29943Y-33439D01* -X29937Y-33441D01* -X29932Y-33442D01* -X29930Y-33442D01* -X29929Y-33442D01* -X31548Y-33442D01* -X31548Y-33432D01* -X31548Y-33411D01* -X31547Y-33391D01* -X31546Y-33371D01* -X31546Y-33353D01* -X31545Y-33335D01* -X31545Y-33318D01* -X31544Y-33303D01* -X31544Y-33289D01* -X31543Y-33277D01* -X31543Y-33266D01* -X31543Y-33257D01* -X31542Y-33250D01* -X31542Y-33244D01* -X31542Y-33241D01* -X31542Y-33240D01* -X31542Y-33240D01* -X31543Y-33240D01* -X31547Y-33240D01* -X31552Y-33241D01* -X31558Y-33243D01* -X31567Y-33244D01* -X31576Y-33246D01* -X31588Y-33249D01* -X31600Y-33251D01* -X31613Y-33254D01* -X31627Y-33257D01* -X31642Y-33260D01* -X31646Y-33261D01* -X31661Y-33264D01* -X31675Y-33267D01* -X31689Y-33270D01* -X31701Y-33273D01* -X31713Y-33275D01* -X31723Y-33277D01* -X31732Y-33279D01* -X31739Y-33281D01* -X31745Y-33282D01* -X31749Y-33283D01* -X31750Y-33283D01* -X31750Y-33283D01* -X31751Y-33282D01* -X31752Y-33279D01* -X31754Y-33275D01* -X31755Y-33270D01* -X31756Y-33266D01* -X31763Y-33244D01* -X31772Y-33220D01* -X31781Y-33197D01* -X31790Y-33174D01* -X31798Y-33158D01* -X31807Y-33140D01* -X31800Y-33134D01* -X31798Y-33132D01* -X31795Y-33129D01* -X31790Y-33124D01* -X31784Y-33119D01* -X31777Y-33113D01* -X31770Y-33106D01* -X31762Y-33098D01* -X31754Y-33090D01* -X31753Y-33090D01* -X31713Y-33052D01* -X31642Y-32895D01* -X31633Y-32876D01* -X31624Y-32857D01* -X31616Y-32839D01* -X31609Y-32823D01* -X31602Y-32808D01* -X31596Y-32794D01* -X31590Y-32781D01* -X31585Y-32770D01* -X31581Y-32760D01* -X31577Y-32752D01* -X31575Y-32746D01* -X31573Y-32741D01* -X31572Y-32738D01* -X31571Y-32738D01* -X31572Y-32737D01* -X31575Y-32735D01* -X31580Y-32732D01* -X31586Y-32728D01* -X31594Y-32723D01* -X31603Y-32717D01* -X31613Y-32711D01* -X31625Y-32703D01* -X31637Y-32695D01* -X31651Y-32686D01* -X31666Y-32677D01* -X31682Y-32667D01* -X31698Y-32656D01* -X31715Y-32645D01* -X31733Y-32634D01* -X31749Y-32624D01* -X31771Y-32610D01* -X31791Y-32597D01* -X31809Y-32585D01* -X31826Y-32575D01* -X31841Y-32565D01* -X31855Y-32557D01* -X31867Y-32549D01* -X31877Y-32542D01* -X31887Y-32536D01* -X31895Y-32531D01* -X31902Y-32527D01* -X31908Y-32523D01* -X31913Y-32520D01* -X31918Y-32517D01* -X31921Y-32515D01* -X31924Y-32514D01* -X31926Y-32513D01* -X31927Y-32512D01* -X31928Y-32512D01* -X31928Y-32512D01* -X31929Y-32513D01* -X31932Y-32515D01* -X31936Y-32519D01* -X31942Y-32523D01* -X31949Y-32529D01* -X31957Y-32536D01* -X31967Y-32544D01* -X31977Y-32553D01* -X31989Y-32563D01* -X32002Y-32573D01* -X32015Y-32584D01* -X32030Y-32596D01* -X32045Y-32609D01* -X32060Y-32622D01* -X32076Y-32635D01* -X32091Y-32648D01* -X32108Y-32661D01* -X32124Y-32675D01* -X32139Y-32688D01* -X32154Y-32700D01* -X32168Y-32712D01* -X32182Y-32723D01* -X32194Y-32734D01* -X32206Y-32743D01* -X32217Y-32752D01* -X32226Y-32760D01* -X32234Y-32767D01* -X32241Y-32773D01* -X32247Y-32777D01* -X32251Y-32781D01* -X32253Y-32783D01* -X32254Y-32783D01* -X32256Y-32784D01* -X32257Y-32784D01* -X32260Y-32784D01* -X32264Y-32783D01* -X32270Y-32782D01* -X32272Y-32781D01* -X32294Y-32777D01* -X32315Y-32773D01* -X32334Y-32770D01* -X32353Y-32768D01* -X32354Y-32768D01* -X32360Y-32767D01* -X32364Y-32766D01* -X32366Y-32766D01* -X32367Y-32765D01* -X32368Y-32764D01* -X32368Y-32763D01* -X32368Y-32760D01* -X32369Y-32754D01* -X32370Y-32747D01* -X32371Y-32739D01* -X32372Y-32729D01* -X32373Y-32718D01* -X32375Y-32706D01* -X32376Y-32693D01* -X32378Y-32679D01* -X32380Y-32665D01* -X32381Y-32651D01* -X32383Y-32636D01* -X32385Y-32622D01* -X32387Y-32607D01* -X32389Y-32593D01* -X32390Y-32580D01* -X32392Y-32567D01* -X32393Y-32555D01* -X32395Y-32544D01* -X32396Y-32535D01* -X32397Y-32526D01* -X32398Y-32520D01* -X32398Y-32515D01* -X32399Y-32511D01* -X32399Y-32510D01* -X32400Y-32510D01* -X32403Y-32509D01* -X32408Y-32508D01* -X32415Y-32506D01* -X32424Y-32504D01* -X32434Y-32502D01* -X32446Y-32499D01* -X32459Y-32496D01* -X32474Y-32492D01* -X32489Y-32488D01* -X32506Y-32484D01* -X32523Y-32480D01* -X32541Y-32476D01* -X32560Y-32471D01* -X32575Y-32468D01* -X32594Y-32463D01* -X32613Y-32458D01* -X32631Y-32454D01* -X32648Y-32450D01* -X32665Y-32446D01* -X32680Y-32442D01* -X32694Y-32439D01* -X32707Y-32436D01* -X32719Y-32433D01* -X32729Y-32430D01* -X32737Y-32428D01* -X32744Y-32427D01* -X32749Y-32426D01* -X32752Y-32425D01* -X32752Y-32425D01* -X32754Y-32425D01* -X32754Y-32425D01* -X32754Y-32427D01* -X32754Y-32430D01* -X32754Y-32432D01* -X32753Y-32436D01* -X32752Y-32442D01* -X32751Y-32449D01* -X32750Y-32458D01* -X32749Y-32468D01* -X32748Y-32479D01* -X32746Y-32490D01* -X32746Y-32496D01* -X32743Y-32515D01* -X32740Y-32535D01* -X32738Y-32556D01* -X32735Y-32576D01* -X32733Y-32597D01* -X32730Y-32617D01* -X32728Y-32637D01* -X32726Y-32657D01* -X32723Y-32676D01* -X32721Y-32694D01* -X32719Y-32712D01* -X32717Y-32729D01* -X32715Y-32745D01* -X32714Y-32760D01* -X32712Y-32774D01* -X32711Y-32786D01* -X32710Y-32797D01* -X32709Y-32806D01* -X32709Y-32813D01* -X32708Y-32819D01* -X32708Y-32823D01* -X32708Y-32825D01* -X32708Y-32825D01* -X32709Y-32825D01* -X32712Y-32827D01* -X32717Y-32829D01* -X32722Y-32831D01* -X32723Y-32832D01* -X32756Y-32849D01* -X32789Y-32868D01* -X32821Y-32889D01* -X32852Y-32911D01* -X32880Y-32935D01* -X32908Y-32961D01* -X32919Y-32972D01* -X32923Y-32976D01* -X32927Y-32979D01* -X32929Y-32981D01* -X32931Y-32982D01* -X32931Y-32982D01* -X32932Y-32982D01* -X32936Y-32980D01* -X32942Y-32978D01* -X32948Y-32975D01* -X32957Y-32971D01* -X32966Y-32967D01* -X32977Y-32962D01* -X32988Y-32957D01* -X33000Y-32951D01* -X33013Y-32945D01* -X33026Y-32938D01* -X33040Y-32932D01* -X33053Y-32925D01* -X33060Y-32922D01* -X33069Y-32917D01* -X33078Y-32912D01* -X33086Y-32908D01* -X33094Y-32905D01* -X33100Y-32902D01* -X33104Y-32900D01* -X33107Y-32898D01* -X33108Y-32898D01* -X33108Y-32899D01* -X33107Y-32902D01* -X33104Y-32906D01* -X33101Y-32912D01* -X33097Y-32920D01* -X33093Y-32929D01* -X33088Y-32939D01* -X33082Y-32950D01* -X33076Y-32962D01* -X33070Y-32975D01* -X33063Y-32989D01* -X33061Y-32994D01* -X33013Y-33089D01* -X33021Y-33099D01* -X33025Y-33104D01* -X33028Y-33108D01* -X33031Y-33112D01* -X33034Y-33116D01* -X33037Y-33121D01* -X33040Y-33127D01* -X33045Y-33134D01* -X33050Y-33142D01* -X33053Y-33148D01* -X33057Y-33155D01* -X33061Y-33161D01* -X33065Y-33167D01* -X33068Y-33172D01* -X33070Y-33176D01* -X33071Y-33177D01* -X33075Y-33181D01* -X33079Y-33184D01* -X33082Y-33185D01* -X33086Y-33187D01* -X33089Y-33188D01* -X33093Y-33188D01* -X33096Y-33188D01* -X33105Y-33188D01* -X33627Y-32972D01* -X33660Y-32958D01* -X33693Y-32945D01* -X33725Y-32931D01* -X33756Y-32918D01* -X33786Y-32906D01* -X33816Y-32893D01* -X33846Y-32881D01* -X33874Y-32870D01* -X33901Y-32858D01* -X33928Y-32847D01* -X33953Y-32837D01* -X33977Y-32827D01* -X34000Y-32817D01* -X34022Y-32808D01* -X34043Y-32799D01* -X34062Y-32791D01* -X34080Y-32784D01* -X34096Y-32777D01* -X34111Y-32771D01* -X34124Y-32766D01* -X34136Y-32761D01* -X34145Y-32757D01* -X34153Y-32754D01* -X34159Y-32751D01* -X34164Y-32749D01* -X34166Y-32749D01* -X34166Y-32748D01* -X34174Y-32745D01* -X34181Y-32741D01* -X34186Y-32737D01* -X34189Y-32733D01* -X34191Y-32729D01* -X34192Y-32723D01* -X34192Y-32718D01* -X34191Y-32717D01* -X34191Y-32716D01* -X34191Y-32714D01* -X34190Y-32713D01* -X34189Y-32711D01* -X34188Y-32708D01* -X34187Y-32705D01* -X34185Y-32700D01* -X34182Y-32696D01* -X34179Y-32690D01* -X34176Y-32682D01* -X34171Y-32674D01* -X34166Y-32665D01* -X34160Y-32653D01* -X34153Y-32641D01* -X34146Y-32626D01* -X34137Y-32610D01* -X34133Y-32602D01* -X34125Y-32588D01* -X34118Y-32574D01* -X34111Y-32560D01* -X34104Y-32547D01* -X34097Y-32535D01* -X34092Y-32525D01* -X34087Y-32515D01* -X34082Y-32507D01* -X34079Y-32500D01* -X34076Y-32494D01* -X34074Y-32490D01* -X34073Y-32488D01* -X34073Y-32488D01* -X34071Y-32482D01* -X34070Y-32474D01* -X34070Y-32466D01* -X34072Y-32460D01* -X34072Y-32459D01* -X34072Y-32459D01* -X34072Y-32458D01* -X34072Y-32458D01* -X34072Y-32457D01* -X34072Y-32457D01* -X34072Y-32456D01* -X34073Y-32455D01* -X34073Y-32454D01* -X34074Y-32452D01* -X34076Y-32450D01* -X34077Y-32448D01* -X34079Y-32445D01* -X34082Y-32441D01* -X34085Y-32437D01* -X34088Y-32432D01* -X34092Y-32426D01* -X34097Y-32419D01* -X34102Y-32411D01* -X34108Y-32403D01* -X34115Y-32393D01* -X34122Y-32382D01* -X34130Y-32370D01* -X34140Y-32357D01* -X34150Y-32342D01* -X34161Y-32326D01* -X34173Y-32308D01* -X34186Y-32289D01* -X34200Y-32268D01* -X34216Y-32246D01* -X34232Y-32222D01* -X34250Y-32197D01* -X34267Y-32172D01* -X34283Y-32148D01* -X34298Y-32126D01* -X34313Y-32105D01* -X34327Y-32084D01* -X34340Y-32066D01* -X34352Y-32048D01* -X34363Y-32032D01* -X34373Y-32017D01* -X34382Y-32004D01* -X34390Y-31992D01* -X34397Y-31982D01* -X34402Y-31973D01* -X34407Y-31966D01* -X34410Y-31961D01* -X34413Y-31957D01* -X34414Y-31956D01* -X34414Y-31956D01* -X34415Y-31951D01* -X34415Y-31944D01* -X34415Y-31942D01* -X34415Y-31938D01* -X34414Y-31934D01* -X34413Y-31930D01* -X34411Y-31926D01* -X34408Y-31922D01* -X34404Y-31918D01* -X34399Y-31912D01* -X34393Y-31906D01* -X34389Y-31902D01* -X34370Y-31882D01* -X34177Y-31959D01* -X33985Y-32037D01* -X33990Y-32031D01* -X33996Y-32023D01* -X34004Y-32014D01* -X34012Y-32004D01* -X34021Y-31993D01* -X34031Y-31982D01* -X34041Y-31969D01* -X34051Y-31957D01* -X34063Y-31943D01* -X34074Y-31930D01* -X34085Y-31916D01* -X34097Y-31902D01* -X34109Y-31888D01* -X34120Y-31874D01* -X34132Y-31860D01* -X34143Y-31846D01* -X34154Y-31833D01* -X34165Y-31820D01* -X34175Y-31808D01* -X34184Y-31797D01* -X34193Y-31786D01* -X34201Y-31776D01* -X34208Y-31767D01* -X34214Y-31760D01* -X34220Y-31753D01* -X34224Y-31748D01* -X34227Y-31745D01* -X34228Y-31743D01* -X34229Y-31742D01* -X34228Y-31741D01* -X34226Y-31739D01* -X34222Y-31735D01* -X34217Y-31730D01* -X34212Y-31725D01* -X34205Y-31718D01* -X34198Y-31711D01* -X34190Y-31703D01* -X34186Y-31699D01* -X34144Y-31657D01* -X34007Y-31690D01* -X33990Y-31694D01* -X33974Y-31698D01* -X33958Y-31702D01* -X33943Y-31705D01* -X33930Y-31708D01* -X33917Y-31712D01* -X33905Y-31714D01* -X33895Y-31717D01* -X33887Y-31719D01* -X33880Y-31720D01* -X33875Y-31722D01* -X33872Y-31722D01* -X33870Y-31723D01* -X33871Y-31722D01* -X33872Y-31719D01* -X33875Y-31714D01* -X33878Y-31708D01* -X33882Y-31701D01* -X33887Y-31692D01* -X33893Y-31682D01* -X33899Y-31671D01* -X33905Y-31658D01* -X33913Y-31645D01* -X33920Y-31632D01* -X33928Y-31617D01* -X33930Y-31613D01* -X33991Y-31503D01* -X33975Y-31487D01* -X33968Y-31480D01* -X33962Y-31475D01* -X33957Y-31471D01* -X33953Y-31469D01* -X33949Y-31467D01* -X33944Y-31466D01* -X33940Y-31465D01* -X33938Y-31465D01* -X33932Y-31465D01* -X33927Y-31465D01* -X33924Y-31466D01* -X33923Y-31467D01* -X33919Y-31469D01* -X33914Y-31473D01* -X33908Y-31477D01* -X33900Y-31482D01* -X33890Y-31489D01* -X33879Y-31496D01* -X33866Y-31505D01* -X33852Y-31514D01* -X33837Y-31525D01* -X33821Y-31536D01* -X33803Y-31548D01* -X33785Y-31561D01* -X33765Y-31574D01* -X33744Y-31588D01* -X33723Y-31603D01* -X33700Y-31618D01* -X33677Y-31634D01* -X33670Y-31639D01* -X33648Y-31654D01* -X33628Y-31668D01* -X33607Y-31682D01* -X33587Y-31696D01* -X33568Y-31709D01* -X33550Y-31722D01* -X33532Y-31734D01* -X33516Y-31745D01* -X33500Y-31756D01* -X33486Y-31766D01* -X33472Y-31775D01* -X33460Y-31783D01* -X33449Y-31790D01* -X33439Y-31797D01* -X33431Y-31802D01* -X33425Y-31807D01* -X33420Y-31810D01* -X33417Y-31812D01* -X33415Y-31813D01* -X33415Y-31813D01* -X33412Y-31814D01* -X33409Y-31815D01* -X33406Y-31816D01* -X33402Y-31816D01* -X33397Y-31816D01* -X33396Y-31816D01* -X33383Y-31816D01* -X33144Y-31720D01* -X33120Y-31711D01* -X33097Y-31701D01* -X33075Y-31693D01* -X33054Y-31684D01* -X33034Y-31676D01* -X33015Y-31668D01* -X32997Y-31661D01* -X32980Y-31654D01* -X32965Y-31648D01* -X32951Y-31642D01* -X32938Y-31637D01* -X32927Y-31633D01* -X32918Y-31629D01* -X32911Y-31626D01* -X32905Y-31624D01* -X32901Y-31622D01* -X32899Y-31621D01* -X32899Y-31621D01* -X32896Y-31618D01* -X32892Y-31615D01* -X32890Y-31612D01* -X32889Y-31611D01* -X32888Y-31610D01* -X32887Y-31610D01* -X32887Y-31609D01* -X32886Y-31608D01* -X32885Y-31606D01* -X32884Y-31605D01* -X32884Y-31603D01* -X32883Y-31601D01* -X32882Y-31598D01* -X32881Y-31595D01* -X32880Y-31591D01* -X32879Y-31586D01* -X32879Y-31581D01* -X32877Y-31574D01* -X32876Y-31567D01* -X32874Y-31559D01* -X32872Y-31549D01* -X32870Y-31538D01* -X32868Y-31526D01* -X32865Y-31513D01* -X32863Y-31498D01* -X32859Y-31482D01* -X32856Y-31464D01* -X32852Y-31444D01* -X32852Y-31443D01* -X32372Y-31443D01* -X32373Y-31442D01* -X32374Y-31439D01* -X32375Y-31434D01* -X32377Y-31427D01* -X32379Y-31418D01* -X32382Y-31407D01* -X32385Y-31395D01* -X32388Y-31382D01* -X32392Y-31367D01* -X32396Y-31351D01* -X32401Y-31333D01* -X32405Y-31315D01* -X32410Y-31296D01* -X32416Y-31275D01* -X32421Y-31254D01* -X32427Y-31233D01* -X32433Y-31210D01* -X32434Y-31206D01* -X32439Y-31183D01* -X32445Y-31161D01* -X32451Y-31140D01* -X32456Y-31120D01* -X32461Y-31100D01* -X32466Y-31081D01* -X32470Y-31064D01* -X32475Y-31047D01* -X32479Y-31032D01* -X32482Y-31019D01* -X32485Y-31006D01* -X32488Y-30996D01* -X32490Y-30986D01* -X32492Y-30979D01* -X32494Y-30974D01* -X32495Y-30970D01* -X32495Y-30969D01* -X32495Y-30969D01* -X32496Y-30968D01* -X32499Y-30968D01* -X32504Y-30968D01* -X32510Y-30968D01* -X32518Y-30968D01* -X32527Y-30968D01* -X32537Y-30968D01* -X32542Y-30968D01* -X32589Y-30968D01* -X32587Y-30971D01* -X32587Y-30973D01* -X32585Y-30976D01* -X32583Y-30981D01* -X32580Y-30988D01* -X32576Y-30996D01* -X32572Y-31007D01* -X32566Y-31018D01* -X32560Y-31031D01* -X32554Y-31045D01* -X32547Y-31061D01* -X32539Y-31077D01* -X32531Y-31095D01* -X32523Y-31114D01* -X32514Y-31133D01* -X32505Y-31153D01* -X32495Y-31174D01* -X32485Y-31196D01* -X32479Y-31209D01* -X32470Y-31230D01* -X32460Y-31252D01* -X32451Y-31272D01* -X32442Y-31292D01* -X32433Y-31311D01* -X32425Y-31329D01* -X32417Y-31346D01* -X32410Y-31362D01* -X32403Y-31377D01* -X32397Y-31390D01* -X32391Y-31403D01* -X32386Y-31413D01* -X32382Y-31423D01* -X32378Y-31430D01* -X32376Y-31436D01* -X32374Y-31440D01* -X32373Y-31442D01* -X32372Y-31443D01* -X32852Y-31443D01* -X32848Y-31423D01* -X32844Y-31400D01* -X32840Y-31375D01* -X32835Y-31348D01* -X32829Y-31319D01* -X32825Y-31295D01* -X32820Y-31269D01* -X32815Y-31244D01* -X32811Y-31220D01* -X32806Y-31196D01* -X32802Y-31173D01* -X32798Y-31151D01* -X32794Y-31130D01* -X32790Y-31110D01* -X32787Y-31092D01* -X32784Y-31074D01* -X32781Y-31058D01* -X32778Y-31044D01* -X32776Y-31031D01* -X32773Y-31019D01* -X32772Y-31010D01* -X32770Y-31002D01* -X32769Y-30996D01* -X32768Y-30992D01* -X32768Y-30990D01* -X32768Y-30990D01* -X32765Y-30984D01* -X32761Y-30979D01* -X32756Y-30974D01* -X32753Y-30972D01* -X32747Y-30968D01* -X34288Y-30968D01* -X34328Y-30968D01* -X34365Y-30968D01* -X34399Y-30968D01* -X34432Y-30968D01* -X34462Y-30968D01* -X34491Y-30968D01* -X34517Y-30968D01* -X34541Y-30968D01* -X34562Y-30968D01* -X34582Y-30968D01* -X34600Y-30968D01* -X34615Y-30968D01* -X34628Y-30968D01* -X34639Y-30968D01* -X34649Y-30968D01* -X34656Y-30968D01* -X34661Y-30968D01* -X34664Y-30968D01* -X34661Y-30968D01* -X34656Y-30968D01* -X34649Y-30968D01* -X34640Y-30968D01* -X34629Y-30968D01* -X34616Y-30968D01* -X34601Y-30968D01* -X34585Y-30968D01* -X34566Y-30968D01* -X34546Y-30968D01* -X34523Y-30968D01* -X34499Y-30968D01* -X34473Y-30968D01* -X34445Y-30968D01* -X34415Y-30968D01* -X34384Y-30968D01* -X34350Y-30968D01* -X34315Y-30968D01* -X34278Y-30968D01* -X34239Y-30968D01* -X34199Y-30968D01* -X34157Y-30968D01* -X34113Y-30968D01* -X34067Y-30968D01* -X34019Y-30968D01* -X33970Y-30968D01* -X33919Y-30968D01* -X33867Y-30968D01* -X33813Y-30968D01* -X33757Y-30968D01* -X33699Y-30968D01* -X33640Y-30968D01* -X33579Y-30968D01* -X33517Y-30968D01* -X33453Y-30968D01* -X33388Y-30968D01* -X33320Y-30967D01* -X33252Y-30967D01* -X33181Y-30967D01* -X33110Y-30967D01* -X33036Y-30967D01* -X32962Y-30967D01* -X32885Y-30967D01* -X32879Y-30967D01* -X29927Y-30967D01* -X29927Y-33459D01* -X29927Y-33530D01* -X29927Y-33599D01* -X29927Y-33667D01* -X29927Y-33733D01* -X29927Y-33798D01* -X29927Y-33862D01* -X29927Y-33924D01* -X29927Y-33984D01* -X29927Y-34044D01* -X29927Y-34101D01* -X29927Y-34157D01* -X29927Y-34212D01* -X29927Y-34265D01* -X29927Y-34316D01* -X29927Y-34366D01* -X29927Y-34414D01* -X29927Y-34460D01* -X29927Y-34505D01* -X29927Y-34549D01* -X29927Y-34590D01* -X29927Y-34630D01* -X29927Y-34668D01* -X29927Y-34705D01* -X29927Y-34740D01* -X29927Y-34773D01* -X29927Y-34804D01* -X29927Y-34834D01* -X29927Y-34861D01* -X29927Y-34887D01* -X29927Y-34911D01* -X29927Y-34934D01* -X29927Y-34954D01* -X29927Y-34973D01* -X29927Y-34989D01* -X29927Y-35004D01* -X29927Y-35017D01* -X29927Y-35028D01* -X29927Y-35037D01* -X29927Y-35045D01* -X29927Y-35050D01* -X29927Y-35053D01* -X29927Y-35054D01* -X29927Y-35053D01* -X29927Y-35051D01* -X29927Y-35046D01* -X29927Y-35039D01* -X29927Y-35030D01* -X29927Y-35019D01* -X29927Y-35006D01* -X29927Y-34991D01* -X29927Y-34973D01* -X29927Y-34954D01* -X29927Y-34932D01* -X29927Y-34909D01* -X29927Y-34883D01* -X29927Y-34854D01* -X29927Y-34824D01* -X29928Y-34804D01* -X29928Y-34754D01* -X29928Y-34704D01* -X29928Y-34654D01* -X29928Y-34606D01* -X29928Y-34557D01* -X29928Y-34510D01* -X29928Y-34463D01* -X29928Y-34418D01* -X29928Y-34373D01* -X29928Y-34329D01* -X29928Y-34286D01* -X29928Y-34243D01* -X29928Y-34203D01* -X29928Y-34163D01* -X29928Y-34124D01* -X29928Y-34086D01* -X29928Y-34050D01* -X29928Y-34015D01* -X29928Y-33981D01* -X29928Y-33949D01* -X29928Y-33918D01* -X29928Y-33889D01* -X29928Y-33861D01* -X29928Y-33835D01* -X29928Y-33810D01* -X29928Y-33787D01* -X29928Y-33766D01* -X29928Y-33746D01* -X29928Y-33729D01* -X29928Y-33713D01* -X29928Y-33699D01* -X29928Y-33687D01* -X29928Y-33677D01* -X29928Y-33669D01* -X29928Y-33663D01* -X29928Y-33659D01* -X29928Y-33657D01* -X29928Y-33657D01* -X29929Y-33658D01* -X29932Y-33660D01* -X29937Y-33662D01* -X29943Y-33666D01* -X29950Y-33671D01* -X29959Y-33677D01* -X29970Y-33683D01* -X29981Y-33690D01* -X29994Y-33698D01* -X30007Y-33707D01* -X30022Y-33716D01* -X30037Y-33725D01* -X30053Y-33735D01* -X30070Y-33746D01* -X30087Y-33757D01* -X30089Y-33758D01* -X30107Y-33769D01* -X30124Y-33780D01* -X30140Y-33790D01* -X30156Y-33800D01* -X30171Y-33809D01* -X30185Y-33818D01* -X30198Y-33826D01* -X30210Y-33834D01* -X30221Y-33840D01* -X30230Y-33846D01* -X30238Y-33851D01* -X30245Y-33856D01* -X30250Y-33859D01* -X30254Y-33861D01* -X30255Y-33862D01* -X30255Y-33862D01* -X30256Y-33863D01* -X30257Y-33863D01* -X30259Y-33864D01* -X30260Y-33864D01* -X30263Y-33865D01* -X30266Y-33865D01* -X30270Y-33866D01* -X30274Y-33867D01* -X30280Y-33869D01* -X30287Y-33870D01* -X30295Y-33871D01* -X30304Y-33873D01* -X30314Y-33875D01* -X30327Y-33878D01* -X30340Y-33880D01* -X30356Y-33883D01* -X30373Y-33886D01* -X30392Y-33890D01* -X30414Y-33894D01* -X30423Y-33895D01* -X30442Y-33899D01* -X30460Y-33902D01* -X30478Y-33906D01* -X30495Y-33909D01* -X30510Y-33912D01* -X30525Y-33915D01* -X30539Y-33917D01* -X30551Y-33920D01* -X30562Y-33922D01* -X30572Y-33923D01* -X30579Y-33925D01* -X30585Y-33926D01* -X30589Y-33927D01* -X30591Y-33927D01* -X30591Y-33927D01* -X30598Y-33930D01* -X30605Y-33935D01* -X30611Y-33940D01* -X30617Y-33946D01* -X30619Y-33951D01* -X30620Y-33952D01* -X30621Y-33955D01* -X30623Y-33961D01* -X30626Y-33968D01* -X30630Y-33976D01* -X30634Y-33986D01* -X30639Y-33998D01* -X30644Y-34011D01* -X30650Y-34026D01* -X30657Y-34041D01* -X30663Y-34058D01* -X30671Y-34075D01* -X30678Y-34094D01* -X30686Y-34113D01* -X30694Y-34133D01* -X30703Y-34154D01* -X30710Y-34171D01* -X30721Y-34199D01* -X30732Y-34225D01* -X30742Y-34250D01* -X30751Y-34272D01* -X30759Y-34292D01* -X30767Y-34311D01* -X30773Y-34327D01* -X30779Y-34342D01* -X30784Y-34355D01* -X30789Y-34366D01* -X30792Y-34375D01* -X30795Y-34382D01* -X30797Y-34388D01* -X30799Y-34392D01* -X30800Y-34394D01* -X30800Y-34394D01* -X30800Y-34402D01* -X30800Y-34410D01* -X30798Y-34418D01* -X30796Y-34423D01* -X30795Y-34424D01* -X30793Y-34427D01* -X30790Y-34432D01* -X30785Y-34439D01* -X30780Y-34447D01* -X30774Y-34456D01* -X30766Y-34467D01* -X30758Y-34479D01* -X30749Y-34492D01* -X30740Y-34506D01* -X30729Y-34521D01* -X30718Y-34537D01* -X30707Y-34554D01* -X30695Y-34572D01* -X30682Y-34590D01* -X30669Y-34609D01* -X30656Y-34629D01* -X30648Y-34640D01* -X30634Y-34660D01* -X30621Y-34679D01* -X30608Y-34698D01* -X30596Y-34716D01* -X30584Y-34733D01* -X30573Y-34750D01* -X30562Y-34765D01* -X30552Y-34780D01* -X30543Y-34793D01* -X30535Y-34806D01* -X30527Y-34817D01* -X30520Y-34827D01* -X30514Y-34835D01* -X30510Y-34842D01* -X30506Y-34848D01* -X30503Y-34851D01* -X30502Y-34854D01* -X30502Y-34854D01* -X30502Y-34855D01* -X30505Y-34858D01* -X30508Y-34862D01* -X30512Y-34867D01* -X30517Y-34874D01* -X30523Y-34881D01* -X30530Y-34889D01* -X30538Y-34898D01* -X30545Y-34908D01* -X30554Y-34918D01* -X30562Y-34928D01* -X30571Y-34939D01* -X30580Y-34949D01* -X30588Y-34959D01* -X30597Y-34969D01* -X30605Y-34979D01* -X30612Y-34988D01* -X30619Y-34996D01* -X30625Y-35003D01* -X30631Y-35009D01* -X30635Y-35015D01* -X30639Y-35018D01* -X30641Y-35021D01* -X30642Y-35022D01* -X30643Y-35021D01* -X30646Y-35019D01* -X30650Y-35016D01* -X30656Y-35012D01* -X30663Y-35007D01* -X30671Y-35002D01* -X30680Y-34996D01* -X30690Y-34989D01* -X30701Y-34981D01* -X30712Y-34974D01* -X30713Y-34973D01* -X30724Y-34965D01* -X30734Y-34958D01* -X30744Y-34951D01* -X30753Y-34945D01* -X30762Y-34939D01* -X30769Y-34935D01* -X30774Y-34931D01* -X30779Y-34928D01* -X30781Y-34926D01* -X30783Y-34925D01* -X30783Y-34925D01* -X30783Y-34927D01* -X30783Y-34930D01* -X30784Y-34935D01* -X30784Y-34942D01* -X30785Y-34950D01* -X30786Y-34960D01* -X30787Y-34971D01* -X30787Y-34984D01* -X30789Y-34997D01* -X30790Y-35011D01* -X30791Y-35024D01* -X30792Y-35038D01* -X30793Y-35052D01* -X30794Y-35065D01* -X30795Y-35077D01* -X30796Y-35089D01* -X30796Y-35098D01* -X30797Y-35107D01* -X30798Y-35113D01* -X30798Y-35118D01* -X30798Y-35121D01* -X30799Y-35122D01* -X30800Y-35122D01* -X30803Y-35123D01* -X30808Y-35123D01* -X30815Y-35124D01* -X30823Y-35125D01* -X30832Y-35126D01* -X30843Y-35127D01* -X30854Y-35129D01* -X30867Y-35130D01* -X30870Y-35130D01* -X30884Y-35132D01* -X30896Y-35133D01* -X30907Y-35134D01* -X30916Y-35135D01* -X30923Y-35136D01* -X30928Y-35137D01* -X30933Y-35137D01* -X30936Y-35138D01* -X30938Y-35138D01* -X30939Y-35139D01* -X30940Y-35139D01* -X30940Y-35139D01* -X30939Y-35141D01* -X30939Y-35144D01* -X30938Y-35150D01* -X30937Y-35157D01* -X30935Y-35165D01* -X30934Y-35174D01* -X30932Y-35184D01* -X30930Y-35195D01* -X30929Y-35207D01* -X30927Y-35218D01* -X30925Y-35230D01* -X30923Y-35242D01* -X30921Y-35253D01* -X30919Y-35264D01* -X30918Y-35274D01* -X30916Y-35284D01* -X30915Y-35292D01* -X30914Y-35298D01* -X30913Y-35303D01* -X30913Y-35306D01* -X30912Y-35308D01* -X30913Y-35309D01* -X30916Y-35311D01* -X30919Y-35314D01* -X30924Y-35319D01* -X30930Y-35324D01* -X30936Y-35330D01* -X30944Y-35336D01* -X30951Y-35343D01* -X30959Y-35350D01* -X30967Y-35356D01* -X30974Y-35363D01* -X30981Y-35369D01* -X30988Y-35375D01* -X30994Y-35380D01* -X30999Y-35384D01* -X31003Y-35387D01* -X31006Y-35389D01* -X31007Y-35390D01* -X31007Y-35390D01* -X31008Y-35390D01* -X31011Y-35388D01* -X31016Y-35385D01* -X31022Y-35381D01* -X31030Y-35376D01* -X31039Y-35369D01* -X31049Y-35362D01* -X31061Y-35354D01* -X31074Y-35346D01* -X31088Y-35336D01* -X31103Y-35326D01* -X31119Y-35315D01* -X31136Y-35304D01* -X31153Y-35292D01* -X31172Y-35279D01* -X31191Y-35266D01* -X31210Y-35253D01* -X31227Y-35241D01* -X31251Y-35225D01* -X31274Y-35209D01* -X31295Y-35194D01* -X31316Y-35181D01* -X31335Y-35167D01* -X31353Y-35155D01* -X31370Y-35144D01* -X31385Y-35134D01* -X31399Y-35124D01* -X31411Y-35116D01* -X31422Y-35109D01* -X31431Y-35103D01* -X31439Y-35098D01* -X31445Y-35094D01* -X31449Y-35091D01* -X31451Y-35089D01* -X31452Y-35089D01* -X31455Y-35088D01* -X31459Y-35087D01* -X31462Y-35087D01* -X31467Y-35086D01* -X31470Y-35086D01* -X31482Y-35086D01* -X31498Y-35093D01* -X31500Y-35094D01* -X31505Y-35096D01* -X31511Y-35099D01* -X31519Y-35103D01* -X31529Y-35107D01* -X31540Y-35112D01* -X31553Y-35117D01* -X31567Y-35123D01* -X31582Y-35130D01* -X31598Y-35137D01* -X31615Y-35144D01* -X31634Y-35152D01* -X31652Y-35160D01* -X31672Y-35168D01* -X31692Y-35177D01* -X31713Y-35186D01* -X31720Y-35189D01* -X31740Y-35198D01* -X31761Y-35207D01* -X31780Y-35215D01* -X31799Y-35223D01* -X31817Y-35231D01* -X31834Y-35238D01* -X31850Y-35245D01* -X31865Y-35252D01* -X31878Y-35257D01* -X31891Y-35263D01* -X31902Y-35268D01* -X31911Y-35272D01* -X31919Y-35275D01* -X31925Y-35278D01* -X31930Y-35280D01* -X31932Y-35281D01* -X31933Y-35281D01* -X31938Y-35285D01* -X31944Y-35290D01* -X31949Y-35296D01* -X31953Y-35302D01* -X31955Y-35305D01* -X31956Y-35306D01* -X31956Y-35307D01* -X31957Y-35309D01* -X31957Y-35312D01* -X31958Y-35315D01* -X31959Y-35319D01* -X31960Y-35324D01* -X31961Y-35329D01* -X31962Y-35336D01* -X31964Y-35344D01* -X31965Y-35353D01* -X31967Y-35363D01* -X31970Y-35374D01* -X31972Y-35387D01* -X31975Y-35401D01* -X31978Y-35417D01* -X31981Y-35434D01* -X31984Y-35454D01* -X31988Y-35475D01* -X31993Y-35497D01* -X31997Y-35522D01* -X32002Y-35549D01* -X32007Y-35578D01* -X32008Y-35580D01* -X32012Y-35604D01* -X32017Y-35628D01* -X32021Y-35651D01* -X32025Y-35673D01* -X32029Y-35694D01* -X32033Y-35715D01* -X32036Y-35734D01* -X32040Y-35752D01* -X32043Y-35769D01* -X32046Y-35784D01* -X32048Y-35799D01* -X32051Y-35811D01* -X32053Y-35822D01* -X32054Y-35831D01* -X32056Y-35839D01* -X32057Y-35844D01* -X32058Y-35848D01* -X32058Y-35849D01* -X32058Y-35849D01* -X32058Y-35849D01* -G37* -G36* -X35813Y-32525D02* -X35813Y-32444D01* -X35813Y-32363D01* -X35507Y-32363D01* -X35483Y-32363D01* -X35483Y-32321D01* -X35483Y-32311D01* -X35483Y-32301D01* -X35483Y-32291D01* -X35483Y-32283D01* -X35483Y-32276D01* -X35484Y-32270D01* -X35484Y-32266D01* -X35486Y-32251D01* -X35490Y-32237D01* -X35495Y-32224D01* -X35502Y-32214D01* -X35510Y-32204D01* -X35520Y-32197D01* -X35532Y-32191D01* -X35540Y-32188D01* -X35550Y-32185D01* -X35560Y-32183D01* -X35571Y-32182D01* -X35583Y-32182D01* -X35588Y-32182D01* -X35601Y-32183D01* -X35613Y-32185D01* -X35623Y-32188D01* -X35633Y-32192D01* -X35637Y-32193D01* -X35647Y-32199D01* -X35656Y-32207D01* -X35663Y-32216D01* -X35669Y-32226D01* -X35673Y-32237D01* -X35673Y-32239D01* -X35674Y-32248D01* -X35675Y-32257D01* -X35674Y-32267D01* -X35673Y-32275D01* -X35673Y-32276D01* -X35670Y-32286D01* -X35665Y-32295D01* -X35660Y-32304D01* -X35652Y-32312D01* -X35650Y-32315D01* -X35638Y-32325D01* -X35625Y-32335D01* -X35611Y-32342D01* -X35595Y-32349D01* -X35578Y-32355D01* -X35572Y-32356D01* -X35561Y-32359D01* -X35551Y-32360D01* -X35541Y-32362D01* -X35530Y-32363D01* -X35517Y-32363D01* -X35507Y-32363D01* -X35813Y-32363D01* -X35761Y-32363D01* -X35708Y-32363D01* -X35720Y-32357D01* -X35741Y-32345D01* -X35759Y-32333D01* -X35775Y-32320D01* -X35789Y-32307D01* -X35801Y-32294D01* -X35810Y-32280D01* -X35816Y-32270D01* -X35820Y-32261D01* -X35823Y-32252D01* -X35826Y-32244D01* -X35828Y-32236D01* -X35829Y-32227D01* -X35830Y-32217D01* -X35831Y-32206D01* -X35831Y-32199D01* -X35831Y-32190D01* -X35831Y-32183D01* -X35831Y-32178D01* -X35831Y-32173D01* -X35830Y-32169D01* -X35830Y-32166D01* -X35829Y-32162D01* -X35829Y-32162D01* -X35827Y-32154D01* -X35824Y-32145D01* -X35821Y-32137D01* -X35818Y-32129D01* -X35817Y-32127D01* -X35808Y-32111D01* -X35797Y-32097D01* -X35785Y-32084D01* -X35770Y-32072D01* -X35754Y-32061D01* -X35737Y-32051D01* -X35718Y-32042D01* -X35698Y-32035D01* -X35676Y-32029D01* -X35654Y-32025D01* -X35650Y-32024D01* -X35631Y-32022D01* -X35610Y-32020D01* -X35589Y-32020D01* -X35567Y-32021D01* -X35559Y-32021D01* -X35533Y-32024D01* -X35509Y-32028D01* -X35487Y-32033D01* -X35467Y-32040D01* -X35448Y-32048D01* -X35431Y-32058D01* -X35415Y-32069D01* -X35401Y-32082D01* -X35389Y-32096D01* -X35378Y-32112D01* -X35369Y-32130D01* -X35361Y-32149D01* -X35354Y-32170D01* -X35350Y-32188D01* -X35349Y-32194D01* -X35348Y-32200D01* -X35347Y-32205D01* -X35346Y-32210D01* -X35345Y-32215D01* -X35345Y-32221D01* -X35344Y-32227D01* -X35344Y-32234D01* -X35344Y-32242D01* -X35344Y-32251D01* -X35343Y-32262D01* -X35343Y-32274D01* -X35343Y-32288D01* -X35343Y-32295D01* -X35343Y-32363D01* -X35325Y-32363D01* -X35313Y-32363D01* -X35302Y-32362D01* -X35292Y-32360D01* -X35283Y-32358D01* -X35278Y-32356D01* -X35270Y-32353D01* -X35262Y-32349D01* -X35255Y-32344D01* -X35247Y-32337D01* -X35247Y-32337D01* -X35240Y-32328D01* -X35233Y-32318D01* -X35228Y-32306D01* -X35224Y-32293D01* -X35220Y-32279D01* -X35220Y-32272D01* -X35219Y-32264D01* -X35218Y-32255D01* -X35218Y-32245D01* -X35218Y-32234D01* -X35219Y-32224D01* -X35219Y-32214D01* -X35220Y-32205D01* -X35220Y-32199D01* -X35225Y-32174D01* -X35232Y-32150D01* -X35240Y-32127D01* -X35251Y-32106D01* -X35263Y-32085D01* -X35271Y-32074D01* -X35278Y-32064D01* -X35189Y-32064D01* -X35175Y-32064D01* -X35161Y-32064D01* -X35149Y-32064D01* -X35137Y-32064D01* -X35127Y-32064D01* -X35118Y-32064D01* -X35110Y-32064D01* -X35104Y-32064D01* -X35100Y-32064D01* -X35098Y-32064D01* -X35098Y-32064D01* -X35097Y-32066D01* -X35096Y-32069D01* -X35094Y-32074D01* -X35093Y-32080D01* -X35090Y-32087D01* -X35088Y-32094D01* -X35086Y-32101D01* -X35084Y-32108D01* -X35082Y-32115D01* -X35081Y-32118D01* -X35077Y-32137D01* -X35072Y-32157D01* -X35069Y-32178D01* -X35065Y-32199D01* -X35063Y-32218D01* -X35062Y-32227D01* -X35062Y-32234D01* -X35062Y-32243D01* -X35061Y-32253D01* -X35061Y-32264D01* -X35061Y-32275D01* -X35061Y-32285D01* -X35062Y-32295D01* -X35062Y-32303D01* -X35062Y-32308D01* -X35066Y-32333D01* -X35070Y-32355D01* -X35077Y-32376D01* -X35085Y-32396D01* -X35094Y-32414D01* -X35105Y-32431D01* -X35118Y-32446D01* -X35132Y-32459D01* -X35148Y-32471D01* -X35166Y-32482D01* -X35185Y-32492D01* -X35206Y-32500D01* -X35207Y-32500D01* -X35229Y-32507D01* -X35253Y-32513D01* -X35279Y-32517D01* -X35306Y-32521D01* -X35336Y-32523D01* -X35343Y-32524D01* -X35347Y-32524D01* -X35353Y-32524D01* -X35361Y-32524D01* -X35371Y-32524D01* -X35383Y-32525D01* -X35397Y-32525D01* -X35413Y-32525D01* -X35431Y-32525D01* -X35450Y-32525D01* -X35472Y-32525D01* -X35495Y-32525D01* -X35519Y-32525D01* -X35546Y-32525D01* -X35573Y-32525D01* -X35588Y-32525D01* -X35813Y-32525D01* -X35813Y-32525D01* -X35813Y-32525D01* -G37* -G36* -X35458Y-33878D02* -X35495Y-33877D01* -X35502Y-33876D01* -X35534Y-33874D01* -X35564Y-33869D01* -X35593Y-33864D01* -X35621Y-33856D01* -X35647Y-33848D01* -X35672Y-33838D01* -X35695Y-33827D01* -X35717Y-33814D01* -X35737Y-33800D01* -X35755Y-33785D01* -X35772Y-33769D01* -X35786Y-33751D01* -X35795Y-33739D01* -X35805Y-33723D01* -X35810Y-33711D01* -X35451Y-33711D01* -X35438Y-33711D01* -X35425Y-33711D01* -X35412Y-33710D01* -X35401Y-33710D01* -X35390Y-33709D01* -X35385Y-33708D01* -X35361Y-33705D01* -X35339Y-33701D01* -X35319Y-33696D01* -X35301Y-33690D01* -X35285Y-33683D01* -X35271Y-33675D01* -X35259Y-33666D01* -X35249Y-33656D01* -X35241Y-33645D01* -X35235Y-33634D01* -X35234Y-33630D01* -X35232Y-33623D01* -X35231Y-33615D01* -X35230Y-33606D01* -X35230Y-33597D01* -X35231Y-33588D01* -X35232Y-33586D01* -X35236Y-33573D01* -X35241Y-33562D01* -X35249Y-33551D01* -X35259Y-33541D01* -X35271Y-33532D01* -X35285Y-33525D01* -X35301Y-33518D01* -X35319Y-33512D01* -X35326Y-33509D01* -X35341Y-33506D01* -X35356Y-33503D01* -X35372Y-33501D01* -X35388Y-33499D01* -X35406Y-33498D01* -X35426Y-33497D01* -X35432Y-33497D01* -X35459Y-33497D01* -X35485Y-33498D01* -X35509Y-33500D01* -X35532Y-33502D01* -X35553Y-33506D01* -X35572Y-33511D01* -X35590Y-33516D01* -X35605Y-33523D01* -X35618Y-33530D01* -X35630Y-33538D01* -X35640Y-33548D01* -X35649Y-33558D01* -X35655Y-33568D01* -X35659Y-33577D01* -X35662Y-33588D01* -X35663Y-33600D01* -X35663Y-33613D01* -X35661Y-33624D01* -X35661Y-33625D01* -X35656Y-33637D01* -X35650Y-33649D01* -X35642Y-33659D01* -X35631Y-33669D01* -X35619Y-33677D01* -X35605Y-33685D01* -X35588Y-33692D01* -X35570Y-33697D01* -X35549Y-33702D01* -X35527Y-33706D01* -X35503Y-33709D01* -X35485Y-33710D01* -X35475Y-33711D01* -X35464Y-33711D01* -X35451Y-33711D01* -X35810Y-33711D01* -X35813Y-33705D01* -X35820Y-33687D01* -X35825Y-33667D01* -X35828Y-33656D01* -X35828Y-33652D01* -X35829Y-33648D01* -X35830Y-33644D01* -X35830Y-33639D01* -X35830Y-33634D01* -X35831Y-33628D01* -X35831Y-33621D01* -X35831Y-33612D01* -X35831Y-33608D01* -X35831Y-33598D01* -X35831Y-33590D01* -X35831Y-33583D01* -X35831Y-33578D01* -X35830Y-33573D01* -X35830Y-33568D01* -X35829Y-33564D01* -X35829Y-33562D01* -X35824Y-33538D01* -X35818Y-33516D01* -X35810Y-33496D01* -X35799Y-33476D01* -X35787Y-33459D01* -X35779Y-33448D01* -X35763Y-33430D01* -X35745Y-33415D01* -X35725Y-33400D01* -X35704Y-33387D01* -X35681Y-33375D01* -X35657Y-33364D01* -X35630Y-33355D01* -X35603Y-33347D01* -X35573Y-33341D01* -X35554Y-33338D01* -X35528Y-33334D01* -X35500Y-33332D01* -X35472Y-33331D01* -X35443Y-33330D01* -X35414Y-33331D01* -X35386Y-33333D01* -X35358Y-33335D01* -X35332Y-33339D01* -X35322Y-33341D01* -X35293Y-33347D01* -X35266Y-33354D01* -X35240Y-33363D01* -X35216Y-33373D01* -X35193Y-33384D01* -X35172Y-33397D01* -X35169Y-33399D01* -X35149Y-33414D01* -X35132Y-33429D01* -X35116Y-33446D01* -X35102Y-33462D01* -X35091Y-33481D01* -X35081Y-33501D01* -X35073Y-33522D01* -X35067Y-33544D01* -X35063Y-33567D01* -X35061Y-33591D01* -X35061Y-33617D01* -X35061Y-33621D01* -X35064Y-33645D01* -X35068Y-33668D01* -X35074Y-33690D01* -X35082Y-33710D01* -X35092Y-33730D01* -X35104Y-33748D01* -X35118Y-33766D01* -X35134Y-33782D01* -X35152Y-33798D01* -X35171Y-33811D01* -X35193Y-33824D01* -X35216Y-33836D01* -X35241Y-33846D01* -X35268Y-33855D01* -X35296Y-33863D01* -X35326Y-33869D01* -X35357Y-33873D01* -X35389Y-33876D01* -X35423Y-33878D01* -X35458Y-33878D01* -X35458Y-33878D01* -X35458Y-33878D01* -G37* -G36* -X35813Y-34461D02* -X35813Y-34381D01* -X35813Y-34300D01* -X35482Y-34300D01* -X35483Y-34249D01* -X35483Y-34236D01* -X35483Y-34225D01* -X35483Y-34216D01* -X35484Y-34208D01* -X35484Y-34202D01* -X35484Y-34197D01* -X35485Y-34192D01* -X35486Y-34188D01* -X35486Y-34184D01* -X35488Y-34180D01* -X35489Y-34176D01* -X35490Y-34173D01* -X35495Y-34160D01* -X35502Y-34150D01* -X35510Y-34140D01* -X35520Y-34133D01* -X35532Y-34127D01* -X35545Y-34122D01* -X35559Y-34119D01* -X35574Y-34118D01* -X35591Y-34118D01* -X35606Y-34120D01* -X35620Y-34123D01* -X35633Y-34128D01* -X35644Y-34134D01* -X35654Y-34141D01* -X35662Y-34150D01* -X35668Y-34159D01* -X35672Y-34170D01* -X35673Y-34175D01* -X35674Y-34183D01* -X35675Y-34192D01* -X35674Y-34201D01* -X35673Y-34210D01* -X35671Y-34218D01* -X35671Y-34218D01* -X35666Y-34230D01* -X35659Y-34241D01* -X35649Y-34252D01* -X35638Y-34261D01* -X35626Y-34270D01* -X35612Y-34278D01* -X35596Y-34285D01* -X35579Y-34290D01* -X35571Y-34293D01* -X35561Y-34295D01* -X35551Y-34296D01* -X35540Y-34298D01* -X35528Y-34299D01* -X35514Y-34299D01* -X35508Y-34300D01* -X35482Y-34300D01* -X35813Y-34300D01* -X35813Y-34300D01* -X35760Y-34300D01* -X35707Y-34300D01* -X35724Y-34291D01* -X35742Y-34280D01* -X35759Y-34269D01* -X35774Y-34258D01* -X35786Y-34246D01* -X35797Y-34234D01* -X35807Y-34222D01* -X35814Y-34209D01* -X35821Y-34195D01* -X35826Y-34180D01* -X35828Y-34171D01* -X35829Y-34165D01* -X35830Y-34158D01* -X35830Y-34150D01* -X35831Y-34141D01* -X35831Y-34132D01* -X35831Y-34123D01* -X35831Y-34114D01* -X35830Y-34107D01* -X35830Y-34101D01* -X35830Y-34101D01* -X35825Y-34084D01* -X35819Y-34067D01* -X35811Y-34052D01* -X35800Y-34037D01* -X35788Y-34023D01* -X35774Y-34011D01* -X35759Y-33999D01* -X35742Y-33989D01* -X35724Y-33980D01* -X35704Y-33973D01* -X35685Y-33967D01* -X35672Y-33964D01* -X35659Y-33961D01* -X35646Y-33959D01* -X35633Y-33958D01* -X35619Y-33957D01* -X35603Y-33957D01* -X35591Y-33957D01* -X35578Y-33957D01* -X35567Y-33957D01* -X35557Y-33957D01* -X35547Y-33958D01* -X35538Y-33959D01* -X35529Y-33960D01* -X35521Y-33962D01* -X35498Y-33966D01* -X35476Y-33972D01* -X35457Y-33980D01* -X35439Y-33989D01* -X35422Y-34000D01* -X35407Y-34012D01* -X35394Y-34026D01* -X35382Y-34041D01* -X35372Y-34058D01* -X35364Y-34077D01* -X35356Y-34097D01* -X35351Y-34117D01* -X35350Y-34125D01* -X35348Y-34132D01* -X35347Y-34139D01* -X35346Y-34146D01* -X35345Y-34153D01* -X35345Y-34161D01* -X35344Y-34170D01* -X35344Y-34179D01* -X35343Y-34190D01* -X35343Y-34202D01* -X35343Y-34216D01* -X35343Y-34232D01* -X35343Y-34239D01* -X35343Y-34300D01* -X35325Y-34300D01* -X35310Y-34299D01* -X35297Y-34297D01* -X35286Y-34295D01* -X35276Y-34292D01* -X35267Y-34288D01* -X35259Y-34283D01* -X35251Y-34276D01* -X35248Y-34273D01* -X35243Y-34268D01* -X35239Y-34263D01* -X35236Y-34259D01* -X35233Y-34254D01* -X35229Y-34245D01* -X35226Y-34236D01* -X35223Y-34227D01* -X35221Y-34217D01* -X35220Y-34207D01* -X35219Y-34195D01* -X35218Y-34181D01* -X35218Y-34177D01* -X35218Y-34163D01* -X35219Y-34152D01* -X35220Y-34141D01* -X35221Y-34131D01* -X35223Y-34121D01* -X35225Y-34111D01* -X35227Y-34104D01* -X35234Y-34081D01* -X35243Y-34058D01* -X35253Y-34037D01* -X35266Y-34017D01* -X35271Y-34009D01* -X35274Y-34005D01* -X35276Y-34002D01* -X35278Y-34000D01* -X35278Y-34000D01* -X35277Y-34000D01* -X35274Y-34000D01* -X35269Y-34000D01* -X35262Y-34000D01* -X35254Y-34000D01* -X35244Y-34000D01* -X35233Y-34000D01* -X35221Y-34000D01* -X35208Y-34000D01* -X35194Y-34000D01* -X35188Y-34000D01* -X35098Y-34000D01* -X35092Y-34016D01* -X35082Y-34051D01* -X35074Y-34086D01* -X35067Y-34122D01* -X35063Y-34158D01* -X35063Y-34158D01* -X35062Y-34166D01* -X35062Y-34175D01* -X35061Y-34186D01* -X35061Y-34197D01* -X35061Y-34208D01* -X35061Y-34219D01* -X35062Y-34229D01* -X35062Y-34237D01* -X35062Y-34244D01* -X35066Y-34268D01* -X35070Y-34290D01* -X35076Y-34311D01* -X35084Y-34331D01* -X35093Y-34349D01* -X35104Y-34365D01* -X35116Y-34380D01* -X35130Y-34394D01* -X35134Y-34397D01* -X35150Y-34409D01* -X35168Y-34419D01* -X35187Y-34428D01* -X35208Y-34436D01* -X35231Y-34443D01* -X35256Y-34449D01* -X35283Y-34454D01* -X35302Y-34456D01* -X35307Y-34457D01* -X35311Y-34457D01* -X35316Y-34458D01* -X35320Y-34458D01* -X35325Y-34459D01* -X35330Y-34459D01* -X35335Y-34459D01* -X35341Y-34460D01* -X35347Y-34460D01* -X35354Y-34460D01* -X35362Y-34460D01* -X35370Y-34460D01* -X35380Y-34460D01* -X35390Y-34461D01* -X35402Y-34461D01* -X35415Y-34461D01* -X35429Y-34461D01* -X35445Y-34461D01* -X35463Y-34461D01* -X35482Y-34461D01* -X35503Y-34461D01* -X35526Y-34461D01* -X35551Y-34461D01* -X35578Y-34461D01* -X35586Y-34461D01* -X35813Y-34461D01* -X35813Y-34461D01* -X35813Y-34461D01* -G37* -G36* -X35813Y-35561D02* -X35813Y-35480D01* -X35813Y-35399D01* -X35762Y-35399D01* -X35710Y-35399D01* -X35711Y-35398D01* -X35447Y-35398D01* -X35434Y-35398D01* -X35422Y-35398D01* -X35412Y-35398D01* -X35403Y-35398D01* -X35395Y-35397D01* -X35388Y-35397D01* -X35380Y-35396D01* -X35372Y-35395D01* -X35364Y-35394D01* -X35358Y-35393D01* -X35337Y-35389D01* -X35318Y-35384D01* -X35301Y-35378D01* -X35286Y-35371D01* -X35272Y-35363D01* -X35261Y-35354D01* -X35253Y-35347D01* -X35245Y-35337D01* -X35239Y-35326D01* -X35235Y-35315D01* -X35233Y-35303D01* -X35232Y-35291D01* -X35234Y-35279D01* -X35237Y-35267D01* -X35242Y-35256D01* -X35250Y-35246D01* -X35258Y-35236D01* -X35261Y-35234D01* -X35272Y-35226D01* -X35285Y-35218D01* -X35300Y-35212D01* -X35316Y-35206D01* -X35334Y-35201D01* -X35354Y-35197D01* -X35375Y-35194D01* -X35399Y-35192D01* -X35402Y-35192D01* -X35408Y-35192D01* -X35415Y-35191D01* -X35425Y-35191D01* -X35435Y-35191D01* -X35445Y-35191D01* -X35456Y-35191D01* -X35467Y-35191D01* -X35478Y-35192D01* -X35487Y-35192D01* -X35496Y-35192D01* -X35503Y-35193D01* -X35508Y-35193D01* -X35527Y-35195D01* -X35543Y-35198D01* -X35555Y-35200D01* -X35574Y-35205D01* -X35591Y-35211D01* -X35607Y-35218D01* -X35620Y-35226D01* -X35632Y-35234D01* -X35642Y-35244D01* -X35649Y-35254D01* -X35655Y-35265D01* -X35656Y-35269D01* -X35658Y-35274D01* -X35659Y-35277D01* -X35660Y-35280D01* -X35660Y-35284D01* -X35660Y-35287D01* -X35660Y-35292D01* -X35660Y-35296D01* -X35660Y-35303D01* -X35659Y-35308D01* -X35659Y-35312D01* -X35658Y-35316D01* -X35657Y-35320D01* -X35651Y-35331D01* -X35644Y-35342D01* -X35635Y-35352D01* -X35624Y-35361D01* -X35610Y-35369D01* -X35595Y-35376D01* -X35578Y-35383D01* -X35559Y-35388D01* -X35539Y-35392D01* -X35530Y-35393D01* -X35522Y-35395D01* -X35513Y-35396D01* -X35505Y-35397D01* -X35496Y-35397D01* -X35487Y-35398D01* -X35476Y-35398D01* -X35464Y-35398D01* -X35451Y-35398D01* -X35447Y-35398D01* -X35711Y-35398D01* -X35724Y-35391D01* -X35745Y-35378D01* -X35763Y-35365D01* -X35779Y-35352D01* -X35793Y-35338D01* -X35804Y-35324D01* -X35814Y-35309D01* -X35817Y-35304D01* -X35820Y-35297D01* -X35823Y-35288D01* -X35826Y-35279D01* -X35828Y-35270D01* -X35830Y-35264D01* -X35830Y-35259D01* -X35831Y-35251D01* -X35831Y-35243D01* -X35831Y-35235D01* -X35831Y-35226D01* -X35831Y-35219D01* -X35830Y-35212D01* -X35830Y-35208D01* -X35825Y-35190D01* -X35818Y-35174D01* -X35810Y-35158D01* -X35799Y-35143D01* -X35786Y-35128D01* -X35771Y-35114D01* -X35754Y-35101D01* -X35734Y-35089D01* -X35715Y-35079D01* -X35689Y-35067D01* -X35662Y-35056D01* -X35633Y-35047D01* -X35603Y-35039D01* -X35571Y-35034D01* -X35538Y-35029D01* -X35503Y-35026D01* -X35467Y-35025D01* -X35431Y-35025D01* -X35417Y-35025D01* -X35405Y-35025D01* -X35395Y-35026D01* -X35385Y-35026D01* -X35375Y-35027D01* -X35366Y-35028D01* -X35355Y-35029D01* -X35347Y-35030D01* -X35315Y-35035D01* -X35283Y-35041D01* -X35254Y-35049D01* -X35225Y-35058D01* -X35199Y-35069D01* -X35173Y-35081D01* -X35150Y-35095D01* -X35146Y-35098D01* -X35128Y-35110D01* -X35112Y-35124D01* -X35099Y-35138D01* -X35087Y-35152D01* -X35078Y-35167D01* -X35070Y-35183D01* -X35068Y-35189D01* -X35064Y-35204D01* -X35062Y-35220D01* -X35061Y-35237D01* -X35062Y-35253D01* -X35064Y-35269D01* -X35068Y-35284D01* -X35069Y-35285D01* -X35075Y-35300D01* -X35083Y-35315D01* -X35094Y-35330D01* -X35107Y-35344D01* -X35122Y-35358D01* -X35138Y-35371D01* -X35157Y-35383D01* -X35171Y-35392D01* -X35182Y-35398D01* -X34988Y-35398D01* -X34793Y-35399D01* -X34793Y-35480D01* -X34793Y-35561D01* -X35303Y-35561D01* -X35813Y-35561D01* -X35813Y-35561D01* -X35813Y-35561D01* -G37* -G36* -X35812Y-32008D02* -X35812Y-32008D01* -X35812Y-32007D01* -X35813Y-32005D01* -X35813Y-32003D01* -X35813Y-31999D01* -X35813Y-31994D01* -X35813Y-31988D01* -X35813Y-31980D01* -X35813Y-31970D01* -X35813Y-31959D01* -X35813Y-31947D01* -X35813Y-31932D01* -X35813Y-31915D01* -X35813Y-31901D01* -X35813Y-31794D01* -X35622Y-31662D01* -X35431Y-31531D01* -X35622Y-31531D01* -X35813Y-31530D01* -X35813Y-31444D01* -X35813Y-31357D01* -X35324Y-31357D01* -X34834Y-31357D01* -X34834Y-31444D01* -X34834Y-31530D01* -X35011Y-31531D01* -X35188Y-31531D01* -X35011Y-31653D01* -X34834Y-31776D01* -X34834Y-31876D01* -X34834Y-31976D01* -X34840Y-31973D01* -X34841Y-31972D01* -X34844Y-31970D01* -X34849Y-31966D01* -X34855Y-31962D01* -X34863Y-31956D01* -X34873Y-31950D01* -X34883Y-31943D01* -X34895Y-31934D01* -X34909Y-31925D01* -X34923Y-31915D01* -X34938Y-31905D01* -X34955Y-31894D01* -X34972Y-31882D01* -X34990Y-31869D01* -X35008Y-31856D01* -X35028Y-31843D01* -X35047Y-31829D01* -X35068Y-31815D01* -X35071Y-31813D01* -X35091Y-31799D01* -X35111Y-31785D01* -X35130Y-31772D01* -X35149Y-31759D01* -X35167Y-31747D01* -X35184Y-31735D01* -X35200Y-31724D01* -X35215Y-31713D01* -X35229Y-31704D01* -X35243Y-31695D01* -X35254Y-31686D01* -X35265Y-31679D01* -X35274Y-31673D01* -X35282Y-31667D01* -X35289Y-31663D01* -X35293Y-31660D01* -X35296Y-31658D01* -X35298Y-31657D01* -X35298Y-31657D01* -X35299Y-31657D01* -X35301Y-31659D01* -X35306Y-31662D01* -X35312Y-31666D01* -X35319Y-31671D01* -X35328Y-31678D01* -X35339Y-31685D01* -X35351Y-31693D01* -X35364Y-31702D01* -X35378Y-31711D01* -X35393Y-31722D01* -X35410Y-31733D01* -X35427Y-31745D01* -X35445Y-31757D01* -X35464Y-31770D01* -X35484Y-31784D01* -X35504Y-31798D01* -X35525Y-31812D01* -X35546Y-31827D01* -X35554Y-31832D01* -X35576Y-31847D01* -X35597Y-31862D01* -X35618Y-31876D01* -X35638Y-31889D01* -X35657Y-31903D01* -X35675Y-31915D01* -X35693Y-31927D01* -X35710Y-31939D01* -X35726Y-31950D01* -X35740Y-31960D01* -X35754Y-31969D01* -X35766Y-31977D01* -X35777Y-31985D01* -X35787Y-31991D01* -X35795Y-31997D01* -X35802Y-32002D01* -X35807Y-32005D01* -X35810Y-32007D01* -X35812Y-32008D01* -X35812Y-32008D01* -X35812Y-32008D01* -X35812Y-32008D01* -G37* -G36* -X35524Y-33228D02* -X35536Y-33228D01* -X35547Y-33228D01* -X35558Y-33227D01* -X35569Y-33227D01* -X35578Y-33227D01* -X35586Y-33226D01* -X35591Y-33226D01* -X35616Y-33222D01* -X35639Y-33217D01* -X35660Y-33211D01* -X35680Y-33204D01* -X35698Y-33195D01* -X35715Y-33185D01* -X35721Y-33182D01* -X35729Y-33176D01* -X35739Y-33168D01* -X35748Y-33159D01* -X35757Y-33150D01* -X35764Y-33140D01* -X35771Y-33132D01* -X35771Y-33132D01* -X35781Y-33115D01* -X35790Y-33097D01* -X35797Y-33077D01* -X35803Y-33057D01* -X35803Y-33056D01* -X35506Y-33056D01* -X35503Y-33056D01* -X35485Y-33055D01* -X35469Y-33052D01* -X35455Y-33049D01* -X35442Y-33045D01* -X35430Y-33039D01* -X35420Y-33032D01* -X35417Y-33029D01* -X35111Y-33029D01* -X35100Y-33029D01* -X35092Y-33028D01* -X35075Y-33026D01* -X35061Y-33022D01* -X35048Y-33017D01* -X35036Y-33010D01* -X35027Y-33002D01* -X35019Y-32993D01* -X35013Y-32982D01* -X35009Y-32970D01* -X35009Y-32968D01* -X35008Y-32966D01* -X35008Y-32963D01* -X35008Y-32959D01* -X35008Y-32955D01* -X35007Y-32949D01* -X35007Y-32942D01* -X35007Y-32933D01* -X35007Y-32923D01* -X35007Y-32910D01* -X35007Y-32908D01* -X35006Y-32853D01* -X35109Y-32853D01* -X35212Y-32853D01* -X35212Y-32907D01* -X35212Y-32920D01* -X35212Y-32931D01* -X35212Y-32940D01* -X35212Y-32948D01* -X35212Y-32954D01* -X35212Y-32959D01* -X35211Y-32963D01* -X35211Y-32966D01* -X35211Y-32968D01* -X35210Y-32968D01* -X35207Y-32980D01* -X35201Y-32991D01* -X35194Y-33000D01* -X35185Y-33009D01* -X35174Y-33016D01* -X35162Y-33021D01* -X35148Y-33025D01* -X35142Y-33026D01* -X35133Y-33028D01* -X35122Y-33029D01* -X35111Y-33029D01* -X35417Y-33029D01* -X35411Y-33024D01* -X35409Y-33022D01* -X35401Y-33013D01* -X35395Y-33002D01* -X35391Y-32990D01* -X35388Y-32976D01* -X35387Y-32974D01* -X35387Y-32970D01* -X35386Y-32966D01* -X35386Y-32961D01* -X35386Y-32955D01* -X35386Y-32948D01* -X35386Y-32939D01* -X35385Y-32929D01* -X35385Y-32916D01* -X35385Y-32908D01* -X35385Y-32853D01* -X35513Y-32853D01* -X35640Y-32853D01* -X35640Y-32909D01* -X35640Y-32922D01* -X35640Y-32934D01* -X35640Y-32943D01* -X35640Y-32951D01* -X35640Y-32958D01* -X35640Y-32963D01* -X35639Y-32968D01* -X35639Y-32971D01* -X35639Y-32974D01* -X35639Y-32975D01* -X35637Y-32983D01* -X35635Y-32990D01* -X35633Y-32997D01* -X35629Y-33003D01* -X35629Y-33005D01* -X35622Y-33016D01* -X35613Y-33026D01* -X35603Y-33034D01* -X35591Y-33041D01* -X35577Y-33047D01* -X35561Y-33051D01* -X35544Y-33054D01* -X35526Y-33056D01* -X35506Y-33056D01* -X35803Y-33056D01* -X35807Y-33035D01* -X35811Y-33012D01* -X35812Y-32992D01* -X35812Y-32988D01* -X35813Y-32983D01* -X35813Y-32975D01* -X35813Y-32965D01* -X35813Y-32954D01* -X35813Y-32941D01* -X35813Y-32927D01* -X35813Y-32912D01* -X35813Y-32895D01* -X35813Y-32877D01* -X35813Y-32858D01* -X35813Y-32839D01* -X35813Y-32827D01* -X35813Y-32681D01* -X35324Y-32681D01* -X34834Y-32681D01* -X34835Y-32832D01* -X34835Y-32854D01* -X34835Y-32874D01* -X34835Y-32891D01* -X34835Y-32907D01* -X34835Y-32921D01* -X34835Y-32934D01* -X34835Y-32945D01* -X34835Y-32955D01* -X34835Y-32963D01* -X34836Y-32971D01* -X34836Y-32977D01* -X34836Y-32983D01* -X34836Y-32988D01* -X34837Y-32993D01* -X34837Y-32997D01* -X34837Y-33001D01* -X34838Y-33004D01* -X34838Y-33008D01* -X34839Y-33012D01* -X34840Y-33015D01* -X34840Y-33020D01* -X34841Y-33020D01* -X34842Y-33030D01* -X34845Y-33040D01* -X34848Y-33051D01* -X34851Y-33061D01* -X34854Y-33070D01* -X34854Y-33071D01* -X34862Y-33090D01* -X34871Y-33107D01* -X34882Y-33123D01* -X34894Y-33137D01* -X34907Y-33149D01* -X34922Y-33161D01* -X34939Y-33170D01* -X34957Y-33179D01* -X34977Y-33186D01* -X34998Y-33192D01* -X35022Y-33196D01* -X35039Y-33199D01* -X35047Y-33199D01* -X35056Y-33200D01* -X35067Y-33200D01* -X35078Y-33201D01* -X35090Y-33201D01* -X35102Y-33201D01* -X35114Y-33201D01* -X35124Y-33200D01* -X35133Y-33200D01* -X35140Y-33199D01* -X35141Y-33199D01* -X35165Y-33195D01* -X35186Y-33189D01* -X35206Y-33182D01* -X35225Y-33174D01* -X35241Y-33165D01* -X35256Y-33153D01* -X35269Y-33141D01* -X35280Y-33127D01* -X35285Y-33121D01* -X35289Y-33114D01* -X35293Y-33122D01* -X35302Y-33138D01* -X35313Y-33152D01* -X35326Y-33166D01* -X35341Y-33178D01* -X35358Y-33188D01* -X35376Y-33198D01* -X35396Y-33207D01* -X35418Y-33214D01* -X35441Y-33220D01* -X35467Y-33224D01* -X35480Y-33226D01* -X35486Y-33227D01* -X35494Y-33227D01* -X35503Y-33227D01* -X35513Y-33228D01* -X35524Y-33228D01* -X35524Y-33228D01* -X35524Y-33228D01* -G37* -G36* -X35188Y-34981D02* -X35202Y-34981D01* -X35216Y-34981D01* -X35229Y-34981D01* -X35241Y-34981D01* -X35251Y-34981D01* -X35260Y-34981D01* -X35268Y-34980D01* -X35273Y-34980D01* -X35277Y-34980D01* -X35279Y-34980D01* -X35279Y-34980D01* -X35279Y-34979D01* -X35277Y-34977D01* -X35275Y-34973D01* -X35275Y-34973D01* -X35267Y-34960D01* -X35261Y-34946D01* -X35256Y-34932D01* -X35253Y-34917D01* -X35251Y-34903D01* -X35251Y-34897D01* -X35252Y-34882D01* -X35255Y-34867D01* -X35260Y-34854D01* -X35267Y-34841D01* -X35276Y-34829D01* -X35278Y-34827D01* -X35290Y-34816D01* -X35304Y-34806D01* -X35319Y-34798D01* -X35336Y-34790D01* -X35356Y-34784D01* -X35377Y-34779D01* -X35400Y-34775D01* -X35425Y-34772D01* -X35445Y-34771D01* -X35449Y-34771D01* -X35455Y-34770D01* -X35463Y-34770D01* -X35473Y-34770D01* -X35485Y-34770D01* -X35498Y-34770D01* -X35513Y-34770D01* -X35529Y-34770D01* -X35546Y-34770D01* -X35564Y-34770D01* -X35584Y-34770D01* -X35604Y-34770D01* -X35625Y-34770D01* -X35640Y-34770D01* -X35813Y-34770D01* -X35813Y-34690D01* -X35813Y-34610D01* -X35446Y-34610D01* -X35078Y-34610D01* -X35078Y-34690D01* -X35078Y-34770D01* -X35138Y-34770D01* -X35197Y-34770D01* -X35184Y-34776D01* -X35169Y-34783D01* -X35155Y-34791D01* -X35141Y-34799D01* -X35128Y-34808D01* -X35116Y-34817D01* -X35106Y-34826D01* -X35098Y-34834D01* -X35098Y-34835D01* -X35087Y-34849D01* -X35078Y-34863D01* -X35071Y-34878D01* -X35066Y-34894D01* -X35063Y-34911D01* -X35061Y-34928D01* -X35062Y-34947D01* -X35064Y-34967D01* -X35065Y-34974D01* -X35066Y-34981D01* -X35173Y-34981D01* -X35188Y-34981D01* -X35188Y-34981D01* -X35188Y-34981D01* -G37* -G36* -X32092Y-35951D02* -X32093Y-35951D01* -X32093Y-35950D01* -X32091Y-35948D01* -X32088Y-35945D01* -X32086Y-35944D01* -X32083Y-35941D01* -X32080Y-35940D01* -X32079Y-35940D01* -X32080Y-35941D01* -X32083Y-35944D01* -X32084Y-35945D01* -X32087Y-35948D01* -X32090Y-35950D01* -X32092Y-35951D01* -X32092Y-35951D01* -X32092Y-35951D01* -G37* -G36* -X29927Y-5742D02* -X29968Y-5742D01* -X29968Y-4453D01* -X29968Y-4392D01* -X29968Y-4334D01* -X29968Y-4280D01* -X29968Y-4229D01* -X29968Y-4182D01* -X29968Y-4139D01* -X29968Y-4098D01* -X29968Y-4061D01* -X29968Y-4026D01* -X29969Y-3995D01* -X29969Y-3966D01* -X29969Y-3939D01* -X29969Y-3916D01* -X29969Y-3894D01* -X29969Y-3875D01* -X29969Y-3857D01* -X29969Y-3842D01* -X29970Y-3829D01* -X29970Y-3817D01* -X29970Y-3807D01* -X29970Y-3798D01* -X29971Y-3790D01* -X29971Y-3784D01* -X29972Y-3779D01* -X29972Y-3775D01* -X29973Y-3772D01* -X29973Y-3769D01* -X29974Y-3767D01* -X29975Y-3766D01* -X29975Y-3765D01* -X29976Y-3764D01* -X29977Y-3763D01* -X29978Y-3762D01* -X29979Y-3761D01* -X29979Y-3761D01* -X29983Y-3754D01* -X29986Y-3748D01* -X29986Y-3747D01* -X29989Y-3741D01* -X29992Y-3738D01* -X29998Y-3738D01* -X30000Y-3738D01* -X30004Y-3739D01* -X30007Y-3737D01* -X30007Y-3736D01* -X30012Y-3728D01* -X30019Y-3723D01* -X30028Y-3719D01* -X30030Y-3719D01* -X30035Y-3719D01* -X30044Y-3719D01* -X30055Y-3719D01* -X30069Y-3719D01* -X30087Y-3719D01* -X30107Y-3719D01* -X30131Y-3719D01* -X30158Y-3719D01* -X30189Y-3719D01* -X30222Y-3719D01* -X30259Y-3718D01* -X30299Y-3718D01* -X30343Y-3718D01* -X30390Y-3718D01* -X30440Y-3718D01* -X30493Y-3718D01* -X30550Y-3718D01* -X30611Y-3718D01* -X30674Y-3718D01* -X30742Y-3718D01* -X30813Y-3718D01* -X30887Y-3718D01* -X30965Y-3718D01* -X31046Y-3718D01* -X31131Y-3718D01* -X31220Y-3718D01* -X31312Y-3718D01* -X31408Y-3718D01* -X31507Y-3718D01* -X31611Y-3718D01* -X31718Y-3718D01* -X31828Y-3718D01* -X31943Y-3718D01* -X32061Y-3718D01* -X32183Y-3718D01* -X32309Y-3718D01* -X32439Y-3718D01* -X32572Y-3718D01* -X32709Y-3718D01* -X32851Y-3718D01* -X32884Y-3718D01* -X33013Y-3718D01* -X33138Y-3718D01* -X33259Y-3718D01* -X33377Y-3718D01* -X33490Y-3718D01* -X33600Y-3718D01* -X33706Y-3718D01* -X33809Y-3718D01* -X33909Y-3718D01* -X34005Y-3718D01* -X34097Y-3718D01* -X34187Y-3718D01* -X34273Y-3718D01* -X34356Y-3718D01* -X34435Y-3718D01* -X34512Y-3718D01* -X34586Y-3718D01* -X34657Y-3718D01* -X34724Y-3718D01* -X34789Y-3718D01* -X34852Y-3718D01* -X34911Y-3719D01* -X34968Y-3719D01* -X35022Y-3719D01* -X35074Y-3719D01* -X35123Y-3719D01* -X35170Y-3719D01* -X35215Y-3719D01* -X35257Y-3719D01* -X35297Y-3719D01* -X35335Y-3719D01* -X35370Y-3719D01* -X35404Y-3719D01* -X35435Y-3719D01* -X35465Y-3719D01* -X35492Y-3719D01* -X35518Y-3719D01* -X35542Y-3719D01* -X35565Y-3719D01* -X35585Y-3720D01* -X35604Y-3720D01* -X35622Y-3720D01* -X35638Y-3720D01* -X35652Y-3720D01* -X35665Y-3720D01* -X35677Y-3720D01* -X35688Y-3720D01* -X35697Y-3720D01* -X35705Y-3720D01* -X35712Y-3720D01* -X35719Y-3721D01* -X35724Y-3721D01* -X35728Y-3721D01* -X35731Y-3721D01* -X35734Y-3721D01* -X35736Y-3721D01* -X35737Y-3721D01* -X35737Y-3721D01* -X35742Y-3725D01* -X35747Y-3730D01* -X35748Y-3731D01* -X35751Y-3736D01* -X35755Y-3738D01* -X35761Y-3738D01* -X35766Y-3738D01* -X35766Y-3678D01* -X35797Y-3678D01* -X35829Y-3678D01* -X35829Y-3710D01* -X35829Y-3741D01* -X35823Y-3741D01* -X35817Y-3739D01* -X35814Y-3735D01* -X35813Y-3734D01* -X35810Y-3729D01* -X35806Y-3723D01* -X35800Y-3717D01* -X35795Y-3712D01* -X35788Y-3704D01* -X35782Y-3699D01* -X35776Y-3696D01* -X35774Y-3694D01* -X35769Y-3691D01* -X35767Y-3686D01* -X35766Y-3684D01* -X35766Y-3678D01* -X35766Y-3738D01* -X35769Y-3738D01* -X35769Y-3746D01* -X35769Y-3752D01* -X35771Y-3756D01* -X35776Y-3759D01* -X35781Y-3764D01* -X35785Y-3769D01* -X35786Y-3770D01* -X35786Y-3771D01* -X35786Y-3772D01* -X35786Y-3774D01* -X35787Y-3778D01* -X35787Y-3782D01* -X35787Y-3788D01* -X35787Y-3794D01* -X35787Y-3803D01* -X35788Y-3812D01* -X35788Y-3824D01* -X35788Y-3837D01* -X35788Y-3852D01* -X35788Y-3869D01* -X35788Y-3889D01* -X35788Y-3910D01* -X35788Y-3934D01* -X35789Y-3960D01* -X35789Y-3989D01* -X35789Y-4021D01* -X35789Y-4056D01* -X35789Y-4093D01* -X35789Y-4134D01* -X35789Y-4178D01* -X35789Y-4225D01* -X35789Y-4276D01* -X35789Y-4330D01* -X35789Y-4388D01* -X35789Y-4448D01* -X35789Y-4518D01* -X35789Y-4585D01* -X35789Y-4647D01* -X35789Y-4705D01* -X35789Y-4759D01* -X35789Y-4810D01* -X35789Y-4856D01* -X35789Y-4899D01* -X35789Y-4939D01* -X35789Y-4974D01* -X35789Y-5006D01* -X35789Y-5033D01* -X35789Y-5058D01* -X35789Y-5078D01* -X35788Y-5094D01* -X35788Y-5107D01* -X35788Y-5117D01* -X35788Y-5122D01* -X35788Y-5124D01* -X35787Y-5125D01* -X35785Y-5127D01* -X35782Y-5128D01* -X35778Y-5129D01* -X35773Y-5130D01* -X35766Y-5130D01* -X35757Y-5131D01* -X35745Y-5131D01* -X35731Y-5131D01* -X35713Y-5132D01* -X35692Y-5132D01* -X35671Y-5132D01* -X35572Y-5132D01* -X35566Y-5140D01* -X35562Y-5146D01* -X35559Y-5151D01* -X35558Y-5154D01* -X35556Y-5158D01* -X35552Y-5163D01* -X35551Y-5164D01* -X35547Y-5170D01* -X35543Y-5177D01* -X35542Y-5178D01* -X35539Y-5183D01* -X35536Y-5187D01* -X35536Y-5188D01* -X35534Y-5190D01* -X35531Y-5195D01* -X35529Y-5199D01* -X35526Y-5204D01* -X35523Y-5209D01* -X35520Y-5214D01* -X35515Y-5220D01* -X35507Y-5229D01* -X35507Y-5230D01* -X35503Y-5235D01* -X35500Y-5240D01* -X35499Y-5241D01* -X35497Y-5245D01* -X35492Y-5249D01* -X35492Y-5249D01* -X35488Y-5253D01* -X35485Y-5256D01* -X35485Y-5256D01* -X35483Y-5260D01* -X35479Y-5265D01* -X35478Y-5267D01* -X35474Y-5271D01* -X35468Y-5278D01* -X35462Y-5285D01* -X35462Y-5285D01* -X35453Y-5295D01* -X35443Y-5307D01* -X35430Y-5320D01* -X35416Y-5333D01* -X35402Y-5347D01* -X35392Y-5356D01* -X35383Y-5364D01* -X35375Y-5372D01* -X35367Y-5379D01* -X35361Y-5385D01* -X35359Y-5386D01* -X35354Y-5391D01* -X35350Y-5394D01* -X35347Y-5395D01* -X35344Y-5397D01* -X35340Y-5400D01* -X35338Y-5401D01* -X35334Y-5405D01* -X35330Y-5407D01* -X35329Y-5407D01* -X35327Y-5408D01* -X35323Y-5412D01* -X35317Y-5416D01* -X35308Y-5423D01* -X35301Y-5428D01* -X35296Y-5430D01* -X35291Y-5429D01* -X35290Y-5429D01* -X35285Y-5428D01* -X35281Y-5431D01* -X35280Y-5436D01* -X35279Y-5441D01* -X35277Y-5444D01* -X35274Y-5446D01* -X35269Y-5450D01* -X35263Y-5454D01* -X35256Y-5459D01* -X35249Y-5463D01* -X35244Y-5465D01* -X35241Y-5466D01* -X35239Y-5467D01* -X35234Y-5470D01* -X35230Y-5472D01* -X35224Y-5476D01* -X35218Y-5478D01* -X35215Y-5479D01* -X35210Y-5481D01* -X35204Y-5485D01* -X35204Y-5486D01* -X35198Y-5491D01* -X35192Y-5491D01* -X35186Y-5489D01* -X35183Y-5486D01* -X35180Y-5487D01* -X35178Y-5490D01* -X35177Y-5493D01* -X35171Y-5502D01* -X35162Y-5509D01* -X35155Y-5512D01* -X35148Y-5514D01* -X35140Y-5517D01* -X35139Y-5517D01* -X35128Y-5522D01* -X35119Y-5525D01* -X35110Y-5527D01* -X35103Y-5529D01* -X35097Y-5532D01* -X35096Y-5533D01* -X35091Y-5535D01* -X35084Y-5538D01* -X35077Y-5540D01* -X35076Y-5540D01* -X35067Y-5542D01* -X35059Y-5545D01* -X35054Y-5548D01* -X35054Y-5548D01* -X35047Y-5551D01* -X35039Y-5553D01* -X35029Y-5555D01* -X35019Y-5557D01* -X35007Y-5559D01* -X34995Y-5562D01* -X34992Y-5563D01* -X34982Y-5565D01* -X34972Y-5568D01* -X34963Y-5569D01* -X34960Y-5570D01* -X34951Y-5571D01* -X34940Y-5573D01* -X34931Y-5575D01* -X34930Y-5575D01* -X34918Y-5577D01* -X34903Y-5579D01* -X34886Y-5581D01* -X34869Y-5582D01* -X34858Y-5583D01* -X34849Y-5583D01* -X34838Y-5584D01* -X34824Y-5584D01* -X34809Y-5583D01* -X34792Y-5583D01* -X34775Y-5583D01* -X34759Y-5582D01* -X34744Y-5582D01* -X34731Y-5582D01* -X34720Y-5581D01* -X34712Y-5580D01* -X34712Y-5580D01* -X34701Y-5579D01* -X34690Y-5577D01* -X34679Y-5575D01* -X34677Y-5575D01* -X34667Y-5573D01* -X34655Y-5571D01* -X34644Y-5569D01* -X34643Y-5569D01* -X34633Y-5567D01* -X34624Y-5566D01* -X34617Y-5563D01* -X34615Y-5563D01* -X34609Y-5561D01* -X34601Y-5559D01* -X34591Y-5557D01* -X34584Y-5556D01* -X34570Y-5553D01* -X34560Y-5550D01* -X34555Y-5548D01* -X34548Y-5545D01* -X34541Y-5542D01* -X34531Y-5540D01* -X34523Y-5538D01* -X34517Y-5536D01* -X34513Y-5533D01* -X34509Y-5531D01* -X34502Y-5529D01* -X34494Y-5527D01* -X34493Y-5526D01* -X34485Y-5524D01* -X34478Y-5522D01* -X34473Y-5519D01* -X34472Y-5519D01* -X34467Y-5516D01* -X34460Y-5514D01* -X34456Y-5512D01* -X34448Y-5510D01* -X34442Y-5507D01* -X34439Y-5505D01* -X34434Y-5501D01* -X34428Y-5498D01* -X34426Y-5498D01* -X34419Y-5495D01* -X34413Y-5492D01* -X34412Y-5491D01* -X34406Y-5487D01* -X34399Y-5484D01* -X34397Y-5483D01* -X34390Y-5480D01* -X34384Y-5477D01* -X34383Y-5475D01* -X34377Y-5472D01* -X34371Y-5469D01* -X34369Y-5468D01* -X34362Y-5465D01* -X34354Y-5461D01* -X34352Y-5459D01* -X34348Y-5455D01* -X34344Y-5453D01* -X34343Y-5453D01* -X34340Y-5451D01* -X34336Y-5448D01* -X34335Y-5447D01* -X34329Y-5443D01* -X34323Y-5439D01* -X34322Y-5439D01* -X34316Y-5436D01* -X34311Y-5431D01* -X34306Y-5427D01* -X34300Y-5423D01* -X34300Y-5423D01* -X34294Y-5420D01* -X34290Y-5417D01* -X34290Y-5416D01* -X34287Y-5414D01* -X34281Y-5410D01* -X34279Y-5409D01* -X34274Y-5407D01* -X34271Y-5404D01* -X34270Y-5403D01* -X34269Y-5401D01* -X34265Y-5398D01* -X34261Y-5396D01* -X34257Y-5392D01* -X34250Y-5387D01* -X34241Y-5379D01* -X34231Y-5370D01* -X34221Y-5361D01* -X34211Y-5351D01* -X34201Y-5342D01* -X34196Y-5337D01* -X34190Y-5331D01* -X34184Y-5326D01* -X34180Y-5323D01* -X34179Y-5323D01* -X34175Y-5320D01* -X34170Y-5315D01* -X34169Y-5313D01* -X34166Y-5309D01* -X34161Y-5303D01* -X34154Y-5295D01* -X34147Y-5287D01* -X34145Y-5284D01* -X34138Y-5276D01* -X34130Y-5267D01* -X34124Y-5260D01* -X34120Y-5255D01* -X34119Y-5254D01* -X34113Y-5248D01* -X34107Y-5240D01* -X34103Y-5236D01* -X34099Y-5230D01* -X34096Y-5226D01* -X34095Y-5223D01* -X34094Y-5220D01* -X34091Y-5217D01* -X34087Y-5213D01* -X34084Y-5207D01* -X34080Y-5202D01* -X34076Y-5196D01* -X34074Y-5194D01* -X34070Y-5189D01* -X34068Y-5185D01* -X34067Y-5183D01* -X34066Y-5179D01* -X34063Y-5174D01* -X34060Y-5171D01* -X34057Y-5166D01* -X34054Y-5162D01* -X34054Y-5160D01* -X34052Y-5157D01* -X34049Y-5152D01* -X34048Y-5151D01* -X34043Y-5145D01* -X34039Y-5139D01* -X34039Y-5138D01* -X34036Y-5132D01* -X32012Y-5132D01* -X31891Y-5132D01* -X31774Y-5132D01* -X31661Y-5132D01* -X31552Y-5132D01* -X31447Y-5132D01* -X31345Y-5132D01* -X31247Y-5132D01* -X31154Y-5132D01* -X31063Y-5132D01* -X30977Y-5131D01* -X30895Y-5131D01* -X30816Y-5131D01* -X30740Y-5131D01* -X30669Y-5131D01* -X30601Y-5131D01* -X30537Y-5131D01* -X30476Y-5131D01* -X30419Y-5131D01* -X30366Y-5131D01* -X30316Y-5131D01* -X30269Y-5131D01* -X30227Y-5131D01* -X30187Y-5131D01* -X30151Y-5131D01* -X30119Y-5131D01* -X30090Y-5130D01* -X30065Y-5130D01* -X30042Y-5130D01* -X30024Y-5130D01* -X30008Y-5130D01* -X29996Y-5130D01* -X29987Y-5130D01* -X29982Y-5130D01* -X29980Y-5130D01* -X29974Y-5127D01* -X29971Y-5124D01* -X29970Y-5124D01* -X29970Y-5121D01* -X29970Y-5115D01* -X29970Y-5105D01* -X29970Y-5091D01* -X29969Y-5073D01* -X29969Y-5052D01* -X29969Y-5026D01* -X29969Y-4997D01* -X29969Y-4963D01* -X29969Y-4926D01* -X29969Y-4885D01* -X29969Y-4840D01* -X29969Y-4791D01* -X29968Y-4738D01* -X29968Y-4682D01* -X29968Y-4621D01* -X29968Y-4557D01* -X29968Y-4488D01* -X29968Y-4453D01* -X29968Y-5742D01* -X31055Y-5742D01* -X31055Y-5608D01* -X31056Y-5601D01* -X31059Y-5595D01* -X31060Y-5594D01* -X31063Y-5590D01* -X31065Y-5583D01* -X31066Y-5579D01* -X31069Y-5566D01* -X31073Y-5559D01* -X31076Y-5552D01* -X31079Y-5545D01* -X31080Y-5542D01* -X31081Y-5534D01* -X31082Y-5528D01* -X31084Y-5524D01* -X31087Y-5520D01* -X31087Y-5520D01* -X31091Y-5513D01* -X31095Y-5502D01* -X31095Y-5500D01* -X31098Y-5489D01* -X31102Y-5481D01* -X31103Y-5480D01* -X31107Y-5472D01* -X31109Y-5465D01* -X31110Y-5456D01* -X31111Y-5451D01* -X31113Y-5446D01* -X31115Y-5443D01* -X31117Y-5441D01* -X31120Y-5436D01* -X31123Y-5428D01* -X31125Y-5419D01* -X31126Y-5411D01* -X31126Y-5411D01* -X31127Y-5409D01* -X31130Y-5405D01* -X31131Y-5403D01* -X31136Y-5395D01* -X31138Y-5386D01* -X31139Y-5384D01* -X31140Y-5375D01* -X31142Y-5369D01* -X31146Y-5363D01* -X31149Y-5359D01* -X31153Y-5352D01* -X31153Y-5346D01* -X31155Y-5335D01* -X31160Y-5325D01* -X31162Y-5322D01* -X31166Y-5315D01* -X31168Y-5305D01* -X31169Y-5298D01* -X31171Y-5292D01* -X31174Y-5288D01* -X31178Y-5285D01* -X31184Y-5283D01* -X31193Y-5282D01* -X31204Y-5281D01* -X31219Y-5281D01* -X31224Y-5281D01* -X31236Y-5281D01* -X31245Y-5281D01* -X31252Y-5282D01* -X31256Y-5282D01* -X31259Y-5283D01* -X31261Y-5284D01* -X31262Y-5285D01* -X31265Y-5289D01* -X31266Y-5293D01* -X31267Y-5297D01* -X31270Y-5302D01* -X31271Y-5303D01* -X31275Y-5309D01* -X31277Y-5315D01* -X31279Y-5324D01* -X31280Y-5325D01* -X31282Y-5334D01* -X31284Y-5340D01* -X31287Y-5345D01* -X31291Y-5350D01* -X31293Y-5357D01* -X31294Y-5362D01* -X31296Y-5372D01* -X31300Y-5380D01* -X31301Y-5381D01* -X31306Y-5390D01* -X31308Y-5398D01* -X31309Y-5406D01* -X31310Y-5412D01* -X31312Y-5416D01* -X31316Y-5421D01* -X31316Y-5422D01* -X31321Y-5428D01* -X31323Y-5436D01* -X31324Y-5441D01* -X31326Y-5451D01* -X31329Y-5458D01* -X31330Y-5458D01* -X31336Y-5468D01* -X31338Y-5479D01* -X31338Y-5481D01* -X31340Y-5487D01* -X31343Y-5494D01* -X31345Y-5497D01* -X31351Y-5508D01* -X31353Y-5519D01* -X31355Y-5527D01* -X31357Y-5533D01* -X31359Y-5536D01* -X31363Y-5541D01* -X31366Y-5548D01* -X31367Y-5555D01* -X31369Y-5563D01* -X31371Y-5570D01* -X31374Y-5574D01* -X31374Y-5575D01* -X31379Y-5582D01* -X31381Y-5589D01* -X31381Y-5518D01* -X31381Y-5514D01* -X31383Y-5509D01* -X31384Y-5507D01* -X31386Y-5500D01* -X31387Y-5492D01* -X31388Y-5490D01* -X31388Y-5482D01* -X31389Y-5476D01* -X31392Y-5470D01* -X31397Y-5463D01* -X31403Y-5456D01* -X31409Y-5450D01* -X31412Y-5447D01* -X31416Y-5443D01* -X31420Y-5439D01* -X31426Y-5434D01* -X31433Y-5430D01* -X31437Y-5430D01* -X31446Y-5427D01* -X31451Y-5423D01* -X31454Y-5420D01* -X31458Y-5418D01* -X31463Y-5416D01* -X31471Y-5415D01* -X31481Y-5414D01* -X31491Y-5413D01* -X31499Y-5410D01* -X31501Y-5408D01* -X31507Y-5405D01* -X31513Y-5403D01* -X31522Y-5401D01* -X31528Y-5400D01* -X31537Y-5399D01* -X31545Y-5397D01* -X31550Y-5395D01* -X31551Y-5395D01* -X31557Y-5391D01* -X31561Y-5388D01* -X31569Y-5382D01* -X31573Y-5377D01* -X31575Y-5373D01* -X31575Y-5372D01* -X31573Y-5367D01* -X31570Y-5361D01* -X31565Y-5355D01* -X31560Y-5350D01* -X31557Y-5349D01* -X31552Y-5348D01* -X31545Y-5346D01* -X31543Y-5345D01* -X31538Y-5344D01* -X31535Y-5343D01* -X31531Y-5343D01* -X31525Y-5344D01* -X31517Y-5346D01* -X31510Y-5347D01* -X31487Y-5353D01* -X31484Y-5366D01* -X31481Y-5374D01* -X31478Y-5380D01* -X31473Y-5386D01* -X31472Y-5386D01* -X31464Y-5394D01* -X31432Y-5393D01* -X31420Y-5393D01* -X31411Y-5392D01* -X31405Y-5392D01* -X31400Y-5391D01* -X31398Y-5390D01* -X31396Y-5389D01* -X31396Y-5389D01* -X31392Y-5383D01* -X31392Y-5376D01* -X31393Y-5367D01* -X31396Y-5361D01* -X31399Y-5354D01* -X31401Y-5346D01* -X31402Y-5342D01* -X31403Y-5335D01* -X31406Y-5329D01* -X31409Y-5326D01* -X31413Y-5321D01* -X31419Y-5315D01* -X31425Y-5308D01* -X31425Y-5308D01* -X31431Y-5303D01* -X31436Y-5298D01* -X31439Y-5296D01* -X31439Y-5296D01* -X31444Y-5294D01* -X31449Y-5290D01* -X31450Y-5289D01* -X31458Y-5284D01* -X31465Y-5283D01* -X31472Y-5281D01* -X31480Y-5278D01* -X31484Y-5276D01* -X31487Y-5274D01* -X31490Y-5272D01* -X31494Y-5271D01* -X31498Y-5271D01* -X31505Y-5271D01* -X31514Y-5270D01* -X31526Y-5270D01* -X31526Y-5270D01* -X31539Y-5270D01* -X31548Y-5271D01* -X31554Y-5271D01* -X31559Y-5272D01* -X31563Y-5273D01* -X31566Y-5274D01* -X31570Y-5276D01* -X31578Y-5279D01* -X31586Y-5282D01* -X31590Y-5283D01* -X31596Y-5285D01* -X31601Y-5287D01* -X31602Y-5288D01* -X31606Y-5291D01* -X31611Y-5294D01* -X31613Y-5295D01* -X31621Y-5301D01* -X31630Y-5309D01* -X31638Y-5318D01* -X31644Y-5327D01* -X31647Y-5334D01* -X31649Y-5342D01* -X31652Y-5348D01* -X31654Y-5351D01* -X31656Y-5354D01* -X31657Y-5359D01* -X31658Y-5365D01* -X31659Y-5374D01* -X31659Y-5376D01* -X31660Y-5395D01* -X31651Y-5412D01* -X31643Y-5426D01* -X31634Y-5438D01* -X31625Y-5447D01* -X31615Y-5453D01* -X31614Y-5454D01* -X31607Y-5457D01* -X31602Y-5460D01* -X31601Y-5462D01* -X31598Y-5465D01* -X31593Y-5467D01* -X31586Y-5468D01* -X31585Y-5468D01* -X31574Y-5470D01* -X31565Y-5475D01* -X31559Y-5478D01* -X31552Y-5480D01* -X31543Y-5482D01* -X31530Y-5483D01* -X31522Y-5484D01* -X31519Y-5485D01* -X31515Y-5488D01* -X31512Y-5489D01* -X31505Y-5493D01* -X31497Y-5496D01* -X31495Y-5496D01* -X31488Y-5498D01* -X31482Y-5501D01* -X31477Y-5506D01* -X31473Y-5510D01* -X31471Y-5514D01* -X31470Y-5518D01* -X31470Y-5523D01* -X31470Y-5527D01* -X31471Y-5537D01* -X31474Y-5543D01* -X31478Y-5546D01* -X31482Y-5547D01* -X31486Y-5548D01* -X31492Y-5550D01* -X31499Y-5552D01* -X31506Y-5555D01* -X31513Y-5556D01* -X31519Y-5556D01* -X31527Y-5555D01* -X31537Y-5553D01* -X31548Y-5550D01* -X31560Y-5546D01* -X31569Y-5541D01* -X31575Y-5535D01* -X31578Y-5528D01* -X31579Y-5522D01* -X31581Y-5514D01* -X31582Y-5509D01* -X31585Y-5505D01* -X31589Y-5503D01* -X31592Y-5501D01* -X31597Y-5499D01* -X31601Y-5497D01* -X31606Y-5496D01* -X31612Y-5496D01* -X31621Y-5496D01* -X31628Y-5496D01* -X31639Y-5496D01* -X31647Y-5496D01* -X31653Y-5497D01* -X31657Y-5498D01* -X31660Y-5499D01* -X31661Y-5500D01* -X31667Y-5505D01* -X31670Y-5510D01* -X31669Y-5517D01* -X31667Y-5521D01* -X31664Y-5529D01* -X31662Y-5539D01* -X31661Y-5545D01* -X31660Y-5553D01* -X31659Y-5558D01* -X31657Y-5562D01* -X31654Y-5566D01* -X31651Y-5569D01* -X31647Y-5573D01* -X31644Y-5577D01* -X31643Y-5578D01* -X31642Y-5581D01* -X31638Y-5583D01* -X31634Y-5586D01* -X31630Y-5591D01* -X31626Y-5596D01* -X31620Y-5599D01* -X31620Y-5599D01* -X31614Y-5603D01* -X31608Y-5607D01* -X31608Y-5607D01* -X31603Y-5610D01* -X31596Y-5613D01* -X31594Y-5614D01* -X31586Y-5616D01* -X31578Y-5619D01* -X31576Y-5620D01* -X31570Y-5623D01* -X31565Y-5625D01* -X31558Y-5626D01* -X31550Y-5627D01* -X31539Y-5627D01* -X31526Y-5627D01* -X31520Y-5627D01* -X31508Y-5627D01* -X31499Y-5626D01* -X31492Y-5626D01* -X31486Y-5625D01* -X31482Y-5624D01* -X31477Y-5622D01* -X31474Y-5621D01* -X31466Y-5618D01* -X31458Y-5616D01* -X31453Y-5614D01* -X31446Y-5612D01* -X31439Y-5608D01* -X31438Y-5606D01* -X31433Y-5603D01* -X31429Y-5600D01* -X31428Y-5600D01* -X31425Y-5599D01* -X31420Y-5595D01* -X31415Y-5590D01* -X31410Y-5585D01* -X31405Y-5580D01* -X31403Y-5576D01* -X31402Y-5575D01* -X31400Y-5571D01* -X31395Y-5568D01* -X31393Y-5566D01* -X31391Y-5564D01* -X31389Y-5560D01* -X31388Y-5555D01* -X31387Y-5547D01* -X31387Y-5540D01* -X31385Y-5533D01* -X31384Y-5526D01* -X31383Y-5522D01* -X31381Y-5518D01* -X31381Y-5589D01* -X31381Y-5589D01* -X31382Y-5599D01* -X31382Y-5601D01* -X31382Y-5608D01* -X31381Y-5612D01* -X31379Y-5615D01* -X31378Y-5616D01* -X31376Y-5618D01* -X31373Y-5619D01* -X31370Y-5619D01* -X31365Y-5620D01* -X31357Y-5620D01* -X31347Y-5620D01* -X31341Y-5619D01* -X31329Y-5619D01* -X31320Y-5619D01* -X31314Y-5618D01* -X31310Y-5618D01* -X31307Y-5617D01* -X31305Y-5615D01* -X31304Y-5613D01* -X31300Y-5609D01* -X31299Y-5605D01* -X31299Y-5604D01* -X31298Y-5601D01* -X31295Y-5596D01* -X31293Y-5593D01* -X31288Y-5584D01* -X31286Y-5577D01* -X31285Y-5567D01* -X31283Y-5561D01* -X31281Y-5556D01* -X31277Y-5553D01* -X31276Y-5552D01* -X31274Y-5551D01* -X31271Y-5550D01* -X31267Y-5550D01* -X31262Y-5549D01* -X31255Y-5549D01* -X31245Y-5549D01* -X31232Y-5549D01* -X31218Y-5549D01* -X31202Y-5549D01* -X31189Y-5549D01* -X31180Y-5549D01* -X31173Y-5549D01* -X31168Y-5550D01* -X31166Y-5550D01* -X31165Y-5551D01* -X31164Y-5554D01* -X31162Y-5560D01* -X31161Y-5566D01* -X31159Y-5575D01* -X31155Y-5582D01* -X31154Y-5582D01* -X31150Y-5589D01* -X31148Y-5597D01* -X31147Y-5604D01* -X31145Y-5609D01* -X31144Y-5611D01* -X31140Y-5615D01* -X31132Y-5617D01* -X31121Y-5619D01* -X31105Y-5620D01* -X31097Y-5620D01* -X31083Y-5619D01* -X31072Y-5618D01* -X31064Y-5616D01* -X31059Y-5613D01* -X31058Y-5613D01* -X31055Y-5608D01* -X31055Y-5742D01* -X32429Y-5742D01* -X32429Y-5294D01* -X32431Y-5289D01* -X32433Y-5287D01* -X32434Y-5285D01* -X32436Y-5284D01* -X32438Y-5283D01* -X32442Y-5283D01* -X32449Y-5282D01* -X32457Y-5282D01* -X32469Y-5282D01* -X32472Y-5282D01* -X32487Y-5281D01* -X32499Y-5281D01* -X32508Y-5282D01* -X32514Y-5282D01* -X32518Y-5283D01* -X32521Y-5285D01* -X32523Y-5287D01* -X32524Y-5290D01* -X32528Y-5296D01* -X32532Y-5301D01* -X32536Y-5305D01* -X32539Y-5312D01* -X32540Y-5315D01* -X32543Y-5321D01* -X32545Y-5325D01* -X32547Y-5327D01* -X32550Y-5329D01* -X32553Y-5334D01* -X32554Y-5337D01* -X32557Y-5343D01* -X32561Y-5349D01* -X32562Y-5350D01* -X32565Y-5355D01* -X32568Y-5362D01* -X32568Y-5363D01* -X32571Y-5370D01* -X32575Y-5374D01* -X32575Y-5375D01* -X32579Y-5379D01* -X32582Y-5385D01* -X32583Y-5386D01* -X32584Y-5392D01* -X32586Y-5396D01* -X32587Y-5396D01* -X32589Y-5396D01* -X32592Y-5394D01* -X32597Y-5390D01* -X32600Y-5386D01* -X32603Y-5381D01* -X32604Y-5379D01* -X32606Y-5373D01* -X32610Y-5365D01* -X32613Y-5363D01* -X32617Y-5358D01* -X32619Y-5353D01* -X32621Y-5348D01* -X32625Y-5342D01* -X32626Y-5341D01* -X32630Y-5335D01* -X32633Y-5328D01* -X32633Y-5327D01* -X32636Y-5320D01* -X32640Y-5316D01* -X32640Y-5316D01* -X32644Y-5312D01* -X32647Y-5306D01* -X32647Y-5305D01* -X32650Y-5297D01* -X32654Y-5291D01* -X32658Y-5287D01* -X32661Y-5285D01* -X32665Y-5284D01* -X32668Y-5283D01* -X32673Y-5282D01* -X32680Y-5282D01* -X32689Y-5281D01* -X32701Y-5281D01* -X32703Y-5281D01* -X32715Y-5281D01* -X32725Y-5282D01* -X32734Y-5282D01* -X32740Y-5283D01* -X32743Y-5283D01* -X32747Y-5287D01* -X32749Y-5292D01* -X32748Y-5300D01* -X32744Y-5308D01* -X32738Y-5317D01* -X32736Y-5319D01* -X32733Y-5324D01* -X32730Y-5329D01* -X32730Y-5330D01* -X32729Y-5334D01* -X32726Y-5339D01* -X32723Y-5342D01* -X32719Y-5348D01* -X32715Y-5354D01* -X32714Y-5357D01* -X32712Y-5362D01* -X32709Y-5366D01* -X32708Y-5366D01* -X32706Y-5369D01* -X32703Y-5374D01* -X32701Y-5378D01* -X32699Y-5384D01* -X32695Y-5389D01* -X32693Y-5391D01* -X32690Y-5394D01* -X32687Y-5400D01* -X32686Y-5403D01* -X32683Y-5410D01* -X32678Y-5417D01* -X32677Y-5418D01* -X32673Y-5423D01* -X32671Y-5427D01* -X32671Y-5429D01* -X32670Y-5432D01* -X32666Y-5437D01* -X32665Y-5437D01* -X32661Y-5443D01* -X32658Y-5450D01* -X32657Y-5451D01* -X32654Y-5458D01* -X32650Y-5463D01* -X32649Y-5464D01* -X32646Y-5468D01* -X32644Y-5472D01* -X32642Y-5477D01* -X32640Y-5484D01* -X32639Y-5494D01* -X32638Y-5506D01* -X32638Y-5521D01* -X32638Y-5539D01* -X32638Y-5551D01* -X32638Y-5568D01* -X32638Y-5581D01* -X32638Y-5591D01* -X32637Y-5599D01* -X32636Y-5605D01* -X32635Y-5608D01* -X32633Y-5611D01* -X32631Y-5613D01* -X32628Y-5615D01* -X32625Y-5616D01* -X32621Y-5618D01* -X32617Y-5619D01* -X32612Y-5619D01* -X32604Y-5619D01* -X32594Y-5619D01* -X32589Y-5619D01* -X32579Y-5619D01* -X32569Y-5618D01* -X32561Y-5617D01* -X32556Y-5616D01* -X32555Y-5616D01* -X32551Y-5613D01* -X32548Y-5610D01* -X32548Y-5610D01* -X32547Y-5608D01* -X32547Y-5601D01* -X32547Y-5592D01* -X32547Y-5581D01* -X32547Y-5568D01* -X32547Y-5553D01* -X32547Y-5549D01* -X32547Y-5533D01* -X32547Y-5518D01* -X32546Y-5506D01* -X32546Y-5496D01* -X32546Y-5488D01* -X32545Y-5484D01* -X32545Y-5484D01* -X32543Y-5479D01* -X32538Y-5473D01* -X32537Y-5471D01* -X32533Y-5466D01* -X32531Y-5462D01* -X32531Y-5460D01* -X32530Y-5457D01* -X32526Y-5452D01* -X32524Y-5450D01* -X32520Y-5444D01* -X32516Y-5438D01* -X32516Y-5436D01* -X32513Y-5430D01* -X32509Y-5423D01* -X32508Y-5422D01* -X32504Y-5417D01* -X32502Y-5413D01* -X32502Y-5412D01* -X32500Y-5409D01* -X32497Y-5405D01* -X32496Y-5402D01* -X32491Y-5396D01* -X32488Y-5390D01* -X32488Y-5389D01* -X32485Y-5382D01* -X32480Y-5377D01* -X32480Y-5376D01* -X32475Y-5370D01* -X32472Y-5362D01* -X32469Y-5356D01* -X32465Y-5351D01* -X32465Y-5351D01* -X32461Y-5347D01* -X32458Y-5341D01* -X32458Y-5341D01* -X32455Y-5334D01* -X32451Y-5328D01* -X32450Y-5328D01* -X32446Y-5322D01* -X32444Y-5317D01* -X32443Y-5316D01* -X32441Y-5311D01* -X32437Y-5305D01* -X32435Y-5304D01* -X32431Y-5298D01* -X32429Y-5294D01* -X32429Y-5742D01* -X32753Y-5742D01* -X32753Y-5516D01* -X32753Y-5505D01* -X32753Y-5498D01* -X32753Y-5493D01* -X32754Y-5489D01* -X32756Y-5486D01* -X32759Y-5482D01* -X32759Y-5481D01* -X32762Y-5475D01* -X32765Y-5470D01* -X32765Y-5468D01* -X32767Y-5465D01* -X32770Y-5461D01* -X32771Y-5460D01* -X32776Y-5455D01* -X32780Y-5451D01* -X32780Y-5450D01* -X32784Y-5446D01* -X32788Y-5444D01* -X32795Y-5440D01* -X32798Y-5435D01* -X32798Y-5430D01* -X32794Y-5425D01* -X32790Y-5422D01* -X32785Y-5417D01* -X32782Y-5412D01* -X32780Y-5408D01* -X32778Y-5401D01* -X32775Y-5393D01* -X32770Y-5383D01* -X32770Y-5383D01* -X32767Y-5374D01* -X32766Y-5364D01* -X32768Y-5352D01* -X32771Y-5341D01* -X32773Y-5338D01* -X32776Y-5331D01* -X32779Y-5324D01* -X32781Y-5320D01* -X32784Y-5315D01* -X32788Y-5313D01* -X32792Y-5309D01* -X32795Y-5306D01* -X32798Y-5302D01* -X32803Y-5298D01* -X32805Y-5297D01* -X32812Y-5293D01* -X32818Y-5289D01* -X32820Y-5288D01* -X32823Y-5287D01* -X32825Y-5285D01* -X32830Y-5284D01* -X32835Y-5284D01* -X32843Y-5283D01* -X32855Y-5283D01* -X32857Y-5283D01* -X32867Y-5282D01* -X32879Y-5282D01* -X32894Y-5282D01* -X32911Y-5282D01* -X32929Y-5281D01* -X32947Y-5281D01* -X32959Y-5281D01* -X32977Y-5281D01* -X32993Y-5281D01* -X33005Y-5281D01* -X33014Y-5282D01* -X33021Y-5282D01* -X33026Y-5282D01* -X33029Y-5283D01* -X33031Y-5283D01* -X33033Y-5284D01* -X33034Y-5285D01* -X33034Y-5285D01* -X33035Y-5286D01* -X33035Y-5287D01* -X33036Y-5288D01* -X33036Y-5291D01* -X33037Y-5294D01* -X33037Y-5299D01* -X33037Y-5305D01* -X33038Y-5312D01* -X33038Y-5322D01* -X33038Y-5335D01* -X33038Y-5349D01* -X33038Y-5367D01* -X33038Y-5388D01* -X33038Y-5412D01* -X33038Y-5439D01* -X33038Y-5447D01* -X33038Y-5478D01* -X33038Y-5505D01* -X33038Y-5530D01* -X33038Y-5552D01* -X33037Y-5571D01* -X33037Y-5586D01* -X33037Y-5598D01* -X33037Y-5606D01* -X33036Y-5610D01* -X33036Y-5611D01* -X33033Y-5615D01* -X33030Y-5618D01* -X33026Y-5618D01* -X33020Y-5619D01* -X33011Y-5619D01* -X32999Y-5619D01* -X32985Y-5619D01* -X32971Y-5620D01* -X32955Y-5620D01* -X32939Y-5620D01* -X32924Y-5620D01* -X32910Y-5619D01* -X32897Y-5619D01* -X32886Y-5619D01* -X32879Y-5619D01* -X32873Y-5618D01* -X32872Y-5618D01* -X32866Y-5617D01* -X32858Y-5616D01* -X32848Y-5615D01* -X32841Y-5614D01* -X32831Y-5613D01* -X32824Y-5613D01* -X32820Y-5612D01* -X32817Y-5610D01* -X32814Y-5608D01* -X32813Y-5606D01* -X32808Y-5602D01* -X32804Y-5600D01* -X32803Y-5600D01* -X32795Y-5598D01* -X32788Y-5593D01* -X32781Y-5586D01* -X32776Y-5580D01* -X32772Y-5575D01* -X32770Y-5573D01* -X32767Y-5568D01* -X32764Y-5563D01* -X32762Y-5557D01* -X32759Y-5550D01* -X32758Y-5548D01* -X32756Y-5545D01* -X32754Y-5541D01* -X32753Y-5537D01* -X32753Y-5532D01* -X32753Y-5524D01* -X32753Y-5516D01* -X32753Y-5742D01* -X32879Y-5742D01* -X35829Y-5742D01* -X35829Y-4757D01* -X35829Y-4696D01* -X35829Y-4634D01* -X35829Y-4573D01* -X35829Y-4514D01* -X35829Y-4455D01* -X35829Y-4398D01* -X35829Y-4342D01* -X35829Y-4288D01* -X35829Y-4236D01* -X35829Y-4185D01* -X35829Y-4136D01* -X35829Y-4090D01* -X35829Y-4045D01* -X35829Y-4003D01* -X35830Y-3964D01* -X35830Y-3926D01* -X35830Y-3892D01* -X35830Y-3860D01* -X35830Y-3832D01* -X35830Y-3806D01* -X35830Y-3784D01* -X35830Y-3765D01* -X35830Y-3750D01* -X35830Y-3738D01* -X35830Y-3729D01* -X35830Y-3725D01* -X35832Y-3677D01* -X32879Y-3677D01* -X32772Y-3677D01* -X32665Y-3677D01* -X32559Y-3677D01* -X32455Y-3677D01* -X32351Y-3677D01* -X32249Y-3677D01* -X32149Y-3677D01* -X32049Y-3677D01* -X31951Y-3677D01* -X31855Y-3677D01* -X31760Y-3677D01* -X31667Y-3677D01* -X31576Y-3677D01* -X31486Y-3677D01* -X31398Y-3677D01* -X31312Y-3677D01* -X31228Y-3677D01* -X31147Y-3677D01* -X31067Y-3677D01* -X30989Y-3677D01* -X30914Y-3677D01* -X30841Y-3677D01* -X30771Y-3677D01* -X30702Y-3677D01* -X30637Y-3677D01* -X30574Y-3677D01* -X30514Y-3677D01* -X30456Y-3677D01* -X30401Y-3677D01* -X30349Y-3677D01* -X30300Y-3677D01* -X30254Y-3677D01* -X30211Y-3677D01* -X30172Y-3677D01* -X30135Y-3677D01* -X30102Y-3677D01* -X30071Y-3677D01* -X30045Y-3677D01* -X30022Y-3677D01* -X30002Y-3678D01* -X29986Y-3678D01* -X29974Y-3678D01* -X29965Y-3678D01* -X29960Y-3678D01* -X29992Y-3678D01* -X29992Y-3685D01* -X29990Y-3690D01* -X29986Y-3693D01* -X29984Y-3694D01* -X29980Y-3697D01* -X29974Y-3701D01* -X29967Y-3707D01* -X29962Y-3713D01* -X29955Y-3720D01* -X29950Y-3726D01* -X29947Y-3731D01* -X29945Y-3734D01* -X29942Y-3738D01* -X29938Y-3740D01* -X29934Y-3741D01* -X29927Y-3741D01* -X29927Y-4741D01* -X29927Y-5742D01* -X29927Y-5742D01* -X29927Y-5742D01* -G37* -G36* -X32843Y-5515D02* -X32844Y-5524D01* -X32847Y-5532D01* -X32851Y-5540D01* -X32856Y-5544D01* -X32859Y-5545D01* -X32863Y-5546D01* -X32870Y-5546D01* -X32879Y-5547D01* -X32889Y-5547D01* -X32901Y-5547D01* -X32913Y-5547D01* -X32924Y-5547D01* -X32935Y-5547D01* -X32944Y-5547D01* -X32950Y-5547D01* -X32953Y-5546D01* -X32953Y-5546D01* -X32953Y-5543D01* -X32954Y-5538D01* -X32954Y-5530D01* -X32954Y-5520D01* -X32954Y-5510D01* -X32954Y-5500D01* -X32953Y-5491D01* -X32953Y-5484D01* -X32953Y-5479D01* -X32952Y-5479D01* -X32952Y-5477D01* -X32950Y-5476D01* -X32947Y-5476D01* -X32942Y-5475D01* -X32935Y-5475D01* -X32924Y-5476D01* -X32921Y-5476D01* -X32910Y-5476D01* -X32898Y-5477D01* -X32888Y-5478D01* -X32881Y-5479D01* -X32879Y-5479D01* -X32872Y-5481D01* -X32865Y-5482D01* -X32860Y-5482D01* -X32855Y-5483D01* -X32851Y-5484D01* -X32850Y-5484D01* -X32848Y-5487D01* -X32846Y-5493D01* -X32844Y-5501D01* -X32843Y-5510D01* -X32843Y-5515D01* -X32843Y-5515D01* -X32843Y-5515D01* -G37* -G36* -X32855Y-5380D02* -X32855Y-5385D01* -X32855Y-5385D01* -X32857Y-5391D01* -X32861Y-5397D01* -X32866Y-5401D01* -X32870Y-5403D01* -X32871Y-5403D01* -X32874Y-5404D01* -X32879Y-5407D01* -X32880Y-5408D01* -X32883Y-5409D01* -X32887Y-5411D01* -X32892Y-5412D01* -X32898Y-5412D01* -X32907Y-5413D01* -X32917Y-5413D01* -X32928Y-5413D01* -X32937Y-5414D01* -X32945Y-5414D01* -X32949Y-5414D01* -X32950Y-5413D01* -X32953Y-5412D01* -X32953Y-5411D01* -X32954Y-5408D01* -X32954Y-5401D01* -X32954Y-5393D01* -X32954Y-5383D01* -X32954Y-5374D01* -X32954Y-5365D01* -X32954Y-5358D01* -X32954Y-5354D01* -X32954Y-5353D01* -X32952Y-5352D01* -X32949Y-5351D01* -X32942Y-5350D01* -X32933Y-5350D01* -X32920Y-5350D01* -X32918Y-5350D01* -X32906Y-5350D01* -X32895Y-5350D01* -X32885Y-5351D01* -X32878Y-5351D01* -X32875Y-5351D01* -X32870Y-5352D01* -X32867Y-5354D01* -X32864Y-5356D01* -X32862Y-5361D01* -X32860Y-5366D01* -X32856Y-5374D01* -X32855Y-5380D01* -X32855Y-5380D01* -X32855Y-5380D01* -G37* -G36* -X31193Y-5469D02* -X31194Y-5473D01* -X31196Y-5476D01* -X31199Y-5476D01* -X31206Y-5476D01* -X31214Y-5477D01* -X31224Y-5477D01* -X31236Y-5476D01* -X31244Y-5475D01* -X31249Y-5474D01* -X31251Y-5472D01* -X31251Y-5469D01* -X31248Y-5465D01* -X31244Y-5460D01* -X31242Y-5452D01* -X31242Y-5448D01* -X31239Y-5437D01* -X31235Y-5429D01* -X31231Y-5420D01* -X31228Y-5409D01* -X31227Y-5407D01* -X31226Y-5400D01* -X31225Y-5395D01* -X31223Y-5392D01* -X31223Y-5392D01* -X31221Y-5394D01* -X31218Y-5399D01* -X31215Y-5406D01* -X31212Y-5413D01* -X31209Y-5421D01* -X31207Y-5429D01* -X31206Y-5433D01* -X31203Y-5446D01* -X31199Y-5454D01* -X31194Y-5462D01* -X31193Y-5469D01* -X31193Y-5469D01* -X31193Y-5469D01* -G37* -G36* -X34045Y-4651D02* -X34045Y-4666D01* -X34046Y-4681D01* -X34047Y-4704D01* -X34048Y-4723D01* -X34049Y-4740D01* -X34051Y-4754D01* -X34052Y-4766D01* -X34053Y-4777D01* -X34055Y-4786D01* -X34056Y-4793D01* -X34059Y-4804D01* -X34061Y-4815D01* -X34063Y-4825D01* -X34063Y-4830D01* -X34065Y-4839D01* -X34068Y-4849D01* -X34070Y-4858D01* -X34073Y-4866D01* -X34076Y-4875D01* -X34077Y-4881D01* -X34080Y-4889D01* -X34083Y-4899D01* -X34085Y-4903D01* -X34088Y-4911D01* -X34091Y-4919D01* -X34092Y-4923D01* -X34094Y-4930D01* -X34097Y-4937D01* -X34098Y-4939D01* -X34101Y-4946D01* -X34104Y-4953D01* -X34105Y-4954D01* -X34107Y-4960D01* -X34111Y-4967D01* -X34113Y-4970D01* -X34117Y-4978D01* -X34120Y-4986D01* -X34121Y-4989D01* -X34124Y-4995D01* -X34126Y-5000D01* -X34128Y-5002D01* -X34130Y-5005D01* -X34133Y-5010D01* -X34134Y-5013D01* -X34138Y-5020D01* -X34143Y-5027D01* -X34144Y-5028D01* -X34148Y-5034D01* -X34151Y-5039D01* -X34151Y-5040D01* -X34153Y-5046D01* -X34157Y-5051D01* -X34157Y-5052D01* -X34161Y-5057D01* -X34165Y-5064D01* -X34166Y-5065D01* -X34168Y-5068D01* -X34168Y-4642D01* -X34168Y-4634D01* -X34168Y-4625D01* -X34168Y-4614D01* -X34169Y-4602D01* -X34169Y-4587D01* -X34170Y-4570D01* -X34173Y-4511D01* -X34178Y-4503D01* -X34183Y-4494D01* -X34185Y-4482D01* -X34187Y-4467D01* -X34187Y-4462D01* -X34189Y-4459D01* -X34192Y-4455D01* -X34193Y-4454D01* -X34195Y-4450D01* -X34197Y-4445D01* -X34199Y-4437D01* -X34200Y-4429D01* -X34202Y-4418D01* -X34204Y-4410D01* -X34207Y-4404D01* -X34208Y-4403D01* -X34211Y-4396D01* -X34214Y-4389D01* -X34215Y-4387D01* -X34217Y-4380D01* -X34221Y-4373D01* -X34222Y-4372D01* -X34226Y-4366D01* -X34229Y-4360D01* -X34230Y-4359D01* -X34232Y-4353D01* -X34236Y-4347D01* -X34237Y-4346D01* -X34242Y-4339D01* -X34244Y-4333D01* -X34247Y-4324D01* -X34251Y-4319D01* -X34257Y-4311D01* -X34259Y-4305D01* -X34262Y-4296D01* -X34267Y-4290D01* -X34271Y-4285D01* -X34274Y-4281D01* -X34274Y-4280D01* -X34275Y-4277D01* -X34279Y-4273D01* -X34281Y-4272D01* -X34285Y-4268D01* -X34288Y-4265D01* -X34288Y-4264D01* -X34289Y-4262D01* -X34292Y-4257D01* -X34295Y-4254D01* -X34301Y-4247D01* -X34307Y-4240D01* -X34310Y-4235D01* -X34324Y-4219D01* -X34337Y-4204D01* -X34338Y-4203D01* -X34343Y-4198D01* -X34347Y-4192D01* -X34347Y-4191D01* -X34351Y-4187D01* -X34355Y-4185D01* -X34358Y-4182D01* -X34362Y-4178D01* -X34365Y-4174D01* -X34369Y-4171D01* -X34373Y-4169D01* -X34378Y-4165D01* -X34381Y-4162D01* -X34389Y-4155D01* -X34395Y-4149D01* -X34399Y-4145D01* -X34404Y-4142D01* -X34408Y-4139D01* -X34413Y-4135D01* -X34417Y-4132D01* -X34418Y-4131D01* -X34419Y-4129D01* -X34423Y-4126D01* -X34425Y-4126D01* -X34430Y-4123D01* -X34433Y-4119D01* -X34434Y-4119D01* -X34437Y-4116D01* -X34442Y-4112D01* -X34443Y-4111D01* -X34448Y-4108D01* -X34452Y-4104D01* -X34453Y-4103D01* -X34456Y-4101D01* -X34462Y-4098D01* -X34465Y-4096D01* -X34472Y-4094D01* -X34478Y-4090D01* -X34480Y-4089D01* -X34485Y-4085D01* -X34491Y-4082D01* -X34492Y-4082D01* -X34499Y-4079D01* -X34505Y-4075D01* -X34506Y-4075D01* -X34511Y-4071D01* -X34518Y-4068D01* -X34518Y-4068D01* -X34524Y-4065D01* -X34530Y-4061D01* -X34530Y-4061D01* -X34535Y-4057D01* -X34541Y-4054D01* -X34543Y-4054D01* -X34549Y-4051D01* -X34556Y-4048D01* -X34559Y-4046D01* -X34564Y-4043D01* -X34570Y-4041D01* -X34577Y-4039D01* -X34584Y-4038D01* -X34593Y-4037D01* -X34601Y-4035D01* -X34607Y-4032D01* -X34609Y-4031D01* -X34616Y-4027D01* -X34622Y-4025D01* -X34630Y-4024D01* -X34634Y-4024D01* -X34643Y-4022D01* -X34650Y-4021D01* -X34656Y-4018D01* -X34659Y-4016D01* -X34668Y-4010D01* -X34715Y-4008D01* -X34731Y-4008D01* -X34744Y-4007D01* -X34754Y-4007D01* -X34762Y-4006D01* -X34769Y-4005D01* -X34775Y-4003D01* -X34776Y-4003D01* -X34793Y-4000D01* -X34809Y-3999D01* -X34825Y-4002D01* -X34828Y-4003D01* -X34833Y-4004D01* -X34839Y-4006D01* -X34846Y-4006D01* -X34855Y-4007D01* -X34866Y-4008D01* -X34880Y-4008D01* -X34888Y-4008D01* -X34935Y-4010D01* -X34944Y-4016D01* -X34952Y-4020D01* -X34961Y-4023D01* -X34968Y-4024D01* -X34978Y-4025D01* -X34986Y-4027D01* -X34992Y-4030D01* -X34996Y-4033D01* -X34999Y-4035D01* -X35005Y-4037D01* -X35014Y-4038D01* -X35017Y-4038D01* -X35027Y-4040D01* -X35034Y-4041D01* -X35038Y-4043D01* -X35039Y-4044D01* -X35046Y-4049D01* -X35054Y-4052D01* -X35058Y-4053D01* -X35064Y-4055D01* -X35069Y-4058D01* -X35069Y-4059D01* -X35075Y-4064D01* -X35082Y-4068D01* -X35085Y-4068D01* -X35088Y-4069D01* -X35093Y-4072D01* -X35097Y-4075D01* -X35103Y-4079D01* -X35109Y-4082D01* -X35111Y-4083D01* -X35117Y-4085D01* -X35123Y-4089D01* -X35124Y-4090D01* -X35130Y-4094D01* -X35137Y-4097D01* -X35138Y-4097D01* -X35144Y-4099D01* -X35148Y-4102D01* -X35148Y-4103D01* -X35151Y-4105D01* -X35157Y-4109D01* -X35159Y-4111D01* -X35165Y-4115D01* -X35171Y-4120D01* -X35172Y-4121D01* -X35177Y-4125D01* -X35183Y-4130D01* -X35186Y-4133D01* -X35198Y-4144D01* -X35208Y-4152D01* -X35216Y-4160D01* -X35219Y-4163D01* -X35224Y-4167D01* -X35227Y-4170D01* -X35228Y-4170D01* -X35231Y-4172D01* -X35235Y-4175D01* -X35241Y-4180D01* -X35247Y-4187D01* -X35253Y-4193D01* -X35259Y-4199D01* -X35263Y-4204D01* -X35265Y-4207D01* -X35268Y-4212D01* -X35272Y-4214D01* -X35272Y-4214D01* -X35276Y-4217D01* -X35277Y-4220D01* -X35279Y-4223D01* -X35283Y-4228D01* -X35285Y-4231D01* -X35292Y-4238D01* -X35298Y-4245D01* -X35304Y-4252D01* -X35312Y-4261D01* -X35321Y-4272D01* -X35329Y-4283D01* -X35334Y-4289D01* -X35337Y-4296D01* -X35337Y-4296D01* -X35341Y-4303D01* -X35345Y-4308D01* -X35345Y-4308D01* -X35349Y-4314D01* -X35352Y-4321D01* -X35352Y-4321D01* -X35354Y-4328D01* -X35357Y-4333D01* -X35363Y-4339D01* -X35366Y-4346D01* -X35366Y-4349D01* -X35368Y-4353D01* -X35371Y-4358D01* -X35372Y-4360D01* -X35377Y-4366D01* -X35381Y-4374D01* -X35382Y-4376D01* -X35384Y-4383D01* -X35388Y-4388D01* -X35389Y-4390D01* -X35392Y-4393D01* -X35394Y-4397D01* -X35395Y-4402D01* -X35396Y-4410D01* -X35397Y-4412D01* -X35399Y-4419D01* -X35402Y-4427D01* -X35403Y-4428D01* -X35406Y-4434D01* -X35409Y-4442D01* -X35410Y-4451D01* -X35412Y-4461D01* -X35414Y-4469D01* -X35418Y-4475D01* -X35421Y-4481D01* -X35423Y-4487D01* -X35425Y-4495D01* -X35425Y-4502D01* -X35427Y-4512D01* -X35429Y-4524D01* -X35432Y-4536D01* -X35433Y-4542D01* -X35438Y-4563D01* -X35438Y-4640D01* -X35438Y-4715D01* -X35432Y-4741D01* -X35429Y-4751D01* -X35427Y-4761D01* -X35426Y-4769D01* -X35425Y-4774D01* -X35425Y-4775D01* -X35424Y-4788D01* -X35420Y-4798D01* -X35417Y-4803D01* -X35414Y-4807D01* -X35413Y-4812D01* -X35411Y-4819D01* -X35411Y-4824D01* -X35410Y-4834D01* -X35408Y-4841D01* -X35405Y-4846D01* -X35404Y-4849D01* -X35399Y-4859D01* -X35396Y-4871D01* -X35394Y-4880D01* -X35392Y-4886D01* -X35389Y-4890D01* -X35388Y-4891D01* -X35384Y-4897D01* -X35381Y-4903D01* -X35380Y-4904D01* -X35378Y-4911D01* -X35374Y-4916D01* -X35373Y-4917D01* -X35370Y-4922D01* -X35367Y-4929D01* -X35366Y-4930D01* -X35364Y-4937D01* -X35360Y-4942D01* -X35359Y-4943D01* -X35356Y-4948D01* -X35353Y-4954D01* -X35352Y-4955D01* -X35350Y-4962D01* -X35345Y-4969D01* -X35345Y-4969D01* -X35341Y-4975D01* -X35337Y-4982D01* -X35337Y-4983D01* -X35333Y-4991D01* -X35329Y-4995D01* -X35324Y-5000D01* -X35320Y-5005D01* -X35320Y-5006D01* -X35317Y-5010D01* -X35314Y-5012D01* -X35310Y-5015D01* -X35307Y-5019D01* -X35304Y-5024D01* -X35300Y-5029D01* -X35299Y-5029D01* -X35296Y-5033D01* -X35294Y-5036D01* -X35294Y-5036D01* -X35292Y-5039D01* -X35289Y-5043D01* -X35288Y-5044D01* -X35283Y-5048D01* -X35280Y-5053D01* -X35280Y-5053D01* -X35276Y-5058D01* -X35271Y-5066D01* -X35263Y-5074D01* -X35256Y-5082D01* -X35248Y-5090D01* -X35241Y-5097D01* -X35236Y-5101D01* -X35236Y-5101D01* -X35227Y-5107D01* -X35218Y-5115D01* -X35209Y-5123D01* -X35208Y-5123D01* -X35203Y-5127D01* -X35199Y-5130D01* -X35198Y-5131D01* -X35194Y-5133D01* -X35190Y-5138D01* -X35187Y-5142D01* -X35185Y-5143D01* -X35184Y-5143D01* -X35183Y-5144D01* -X35179Y-5146D01* -X35175Y-5150D01* -X35168Y-5156D01* -X35160Y-5164D01* -X35157Y-5167D01* -X35150Y-5172D01* -X35143Y-5175D01* -X35142Y-5175D01* -X35134Y-5178D01* -X35130Y-5182D01* -X35125Y-5186D01* -X35117Y-5189D01* -X35115Y-5190D01* -X35109Y-5192D01* -X35104Y-5195D01* -X35102Y-5197D01* -X35099Y-5199D01* -X35093Y-5202D01* -X35090Y-5204D01* -X35083Y-5207D01* -X35076Y-5211D01* -X35074Y-5213D01* -X35069Y-5216D01* -X35065Y-5218D01* -X35064Y-5218D01* -X35060Y-5219D01* -X35055Y-5222D01* -X35053Y-5224D01* -X35041Y-5231D01* -X35029Y-5234D01* -X35023Y-5234D01* -X35015Y-5235D01* -X35008Y-5239D01* -X35007Y-5240D01* -X35002Y-5243D01* -X34996Y-5245D01* -X34989Y-5247D01* -X34983Y-5248D01* -X34972Y-5249D01* -X34965Y-5251D01* -X34960Y-5254D01* -X34955Y-5257D01* -X34951Y-5259D01* -X34946Y-5261D01* -X34941Y-5262D01* -X34933Y-5262D01* -X34923Y-5263D01* -X34917Y-5264D01* -X34900Y-5265D01* -X34885Y-5267D01* -X34873Y-5269D01* -X34851Y-5274D01* -X34827Y-5276D01* -X34800Y-5277D01* -X34772Y-5276D01* -X34768Y-5275D01* -X34757Y-5274D01* -X34746Y-5273D01* -X34736Y-5271D01* -X34730Y-5269D01* -X34720Y-5267D01* -X34707Y-5265D01* -X34691Y-5264D01* -X34687Y-5264D01* -X34675Y-5263D01* -X34666Y-5262D01* -X34660Y-5261D01* -X34655Y-5260D01* -X34651Y-5258D01* -X34647Y-5256D01* -X34645Y-5254D01* -X34640Y-5251D01* -X34633Y-5249D01* -X34623Y-5248D01* -X34622Y-5248D01* -X34613Y-5246D01* -X34605Y-5244D01* -X34601Y-5242D01* -X34595Y-5238D01* -X34590Y-5236D01* -X34583Y-5234D01* -X34575Y-5233D01* -X34575Y-5233D01* -X34567Y-5232D01* -X34561Y-5230D01* -X34557Y-5228D01* -X34555Y-5226D01* -X34549Y-5222D01* -X34542Y-5219D01* -X34540Y-5218D01* -X34532Y-5215D01* -X34527Y-5211D01* -X34522Y-5207D01* -X34515Y-5204D01* -X34508Y-5202D01* -X34501Y-5197D01* -X34498Y-5195D01* -X34493Y-5192D01* -X34488Y-5189D01* -X34486Y-5189D01* -X34481Y-5187D01* -X34476Y-5184D01* -X34474Y-5183D01* -X34468Y-5179D01* -X34462Y-5175D01* -X34461Y-5175D01* -X34455Y-5172D01* -X34449Y-5168D01* -X34447Y-5166D01* -X34441Y-5162D01* -X34434Y-5156D01* -X34429Y-5152D01* -X34417Y-5142D01* -X34403Y-5131D01* -X34392Y-5121D01* -X34386Y-5116D01* -X34379Y-5110D01* -X34376Y-5108D01* -X34367Y-5100D01* -X34359Y-5093D01* -X34354Y-5088D01* -X34350Y-5084D01* -X34346Y-5081D01* -X34343Y-5078D01* -X34340Y-5074D01* -X34339Y-5072D01* -X34330Y-5063D01* -X34324Y-5055D01* -X34319Y-5049D01* -X34313Y-5043D01* -X34311Y-5041D01* -X34302Y-5031D01* -X34296Y-5024D01* -X34291Y-5018D01* -X34287Y-5013D01* -X34283Y-5008D01* -X34281Y-5006D01* -X34276Y-4999D01* -X34270Y-4992D01* -X34267Y-4988D01* -X34263Y-4983D01* -X34260Y-4977D01* -X34259Y-4975D01* -X34257Y-4969D01* -X34254Y-4963D01* -X34251Y-4960D01* -X34247Y-4955D01* -X34245Y-4950D01* -X34245Y-4948D01* -X34243Y-4944D01* -X34240Y-4938D01* -X34238Y-4935D01* -X34233Y-4928D01* -X34230Y-4920D01* -X34230Y-4919D01* -X34228Y-4912D01* -X34224Y-4908D01* -X34219Y-4902D01* -X34216Y-4895D01* -X34214Y-4889D01* -X34212Y-4883D01* -X34208Y-4876D01* -X34208Y-4876D01* -X34205Y-4872D01* -X34203Y-4867D01* -X34202Y-4860D01* -X34200Y-4851D01* -X34199Y-4842D01* -X34197Y-4835D01* -X34195Y-4830D01* -X34195Y-4829D01* -X34191Y-4824D01* -X34189Y-4818D01* -X34187Y-4810D01* -X34185Y-4801D01* -X34184Y-4791D01* -X34182Y-4783D01* -X34178Y-4777D01* -X34178Y-4777D01* -X34177Y-4775D01* -X34176Y-4773D01* -X34175Y-4771D01* -X34174Y-4768D01* -X34173Y-4764D01* -X34173Y-4760D01* -X34172Y-4753D01* -X34172Y-4744D01* -X34171Y-4732D01* -X34171Y-4718D01* -X34170Y-4701D01* -X34169Y-4695D01* -X34169Y-4680D01* -X34168Y-4668D01* -X34168Y-4659D01* -X34168Y-4650D01* -X34168Y-4642D01* -X34168Y-5068D01* -X34169Y-5070D01* -X34173Y-5074D01* -X34174Y-5075D01* -X34177Y-5078D01* -X34180Y-5083D01* -X34182Y-5086D01* -X34184Y-5091D01* -X34186Y-5094D01* -X34187Y-5094D01* -X34189Y-5096D01* -X34192Y-5099D01* -X34193Y-5100D01* -X34198Y-5108D01* -X34207Y-5118D01* -X34218Y-5131D01* -X34231Y-5146D01* -X34234Y-5149D01* -X34244Y-5159D01* -X34251Y-5166D01* -X34256Y-5172D01* -X34260Y-5176D01* -X34264Y-5180D01* -X34268Y-5183D01* -X34273Y-5187D01* -X34275Y-5189D01* -X34282Y-5194D01* -X34289Y-5201D01* -X34294Y-5205D01* -X34299Y-5209D01* -X34304Y-5212D01* -X34306Y-5213D01* -X34309Y-5216D01* -X34312Y-5220D01* -X34313Y-5222D01* -X34317Y-5228D01* -X34321Y-5232D01* -X34327Y-5234D01* -X34329Y-5235D01* -X34334Y-5237D01* -X34341Y-5242D01* -X34344Y-5245D01* -X34350Y-5250D01* -X34358Y-5257D01* -X34363Y-5261D01* -X34370Y-5267D01* -X34376Y-5272D01* -X34380Y-5275D01* -X34386Y-5279D01* -X34393Y-5283D01* -X34394Y-5283D01* -X34400Y-5286D01* -X34406Y-5290D01* -X34407Y-5291D01* -X34411Y-5295D01* -X34414Y-5297D01* -X34415Y-5297D01* -X34418Y-5298D01* -X34423Y-5301D01* -X34427Y-5303D01* -X34434Y-5308D01* -X34440Y-5311D01* -X34443Y-5313D01* -X34449Y-5316D01* -X34456Y-5319D01* -X34459Y-5321D01* -X34465Y-5324D01* -X34469Y-5326D01* -X34471Y-5327D01* -X34474Y-5327D01* -X34479Y-5330D01* -X34485Y-5333D01* -X34485Y-5333D01* -X34493Y-5337D01* -X34502Y-5341D01* -X34508Y-5343D01* -X34515Y-5345D01* -X34521Y-5348D01* -X34524Y-5350D01* -X34528Y-5352D01* -X34535Y-5355D01* -X34543Y-5357D01* -X34543Y-5357D01* -X34552Y-5359D01* -X34560Y-5362D01* -X34566Y-5365D01* -X34573Y-5368D01* -X34583Y-5370D01* -X34591Y-5372D01* -X34600Y-5374D01* -X34608Y-5376D01* -X34614Y-5378D01* -X34615Y-5378D01* -X34621Y-5381D01* -X34628Y-5383D01* -X34637Y-5384D01* -X34648Y-5386D01* -X34662Y-5387D01* -X34673Y-5389D01* -X34684Y-5390D01* -X34693Y-5392D01* -X34698Y-5394D01* -X34708Y-5396D01* -X34721Y-5398D01* -X34735Y-5399D01* -X34738Y-5399D01* -X34748Y-5400D01* -X34757Y-5401D01* -X34764Y-5401D01* -X34768Y-5402D01* -X34768Y-5402D01* -X34773Y-5402D01* -X34780Y-5402D01* -X34786Y-5402D01* -X34809Y-5401D01* -X34829Y-5399D01* -X34845Y-5398D01* -X34859Y-5397D01* -X34871Y-5396D01* -X34881Y-5394D01* -X34885Y-5393D01* -X34895Y-5392D01* -X34908Y-5390D01* -X34922Y-5389D01* -X34935Y-5388D01* -X34937Y-5388D01* -X34951Y-5387D01* -X34962Y-5386D01* -X34970Y-5385D01* -X34977Y-5383D01* -X34983Y-5381D01* -X34989Y-5379D01* -X34991Y-5378D01* -X34997Y-5376D01* -X35005Y-5374D01* -X35014Y-5372D01* -X35017Y-5372D01* -X35029Y-5369D01* -X35038Y-5366D01* -X35041Y-5364D01* -X35046Y-5362D01* -X35054Y-5359D01* -X35062Y-5357D01* -X35063Y-5357D01* -X35071Y-5354D01* -X35079Y-5351D01* -X35085Y-5349D01* -X35085Y-5348D01* -X35091Y-5345D01* -X35099Y-5342D01* -X35101Y-5341D01* -X35108Y-5339D01* -X35114Y-5336D01* -X35116Y-5335D01* -X35121Y-5332D01* -X35127Y-5330D01* -X35134Y-5329D01* -X35142Y-5324D01* -X35149Y-5319D01* -X35156Y-5315D01* -X35160Y-5314D01* -X35167Y-5311D01* -X35173Y-5306D01* -X35175Y-5305D01* -X35180Y-5300D01* -X35186Y-5297D01* -X35187Y-5297D01* -X35193Y-5294D01* -X35199Y-5290D01* -X35199Y-5290D01* -X35205Y-5286D01* -X35211Y-5283D01* -X35211Y-5283D01* -X35217Y-5279D01* -X35223Y-5274D01* -X35224Y-5273D01* -X35231Y-5267D01* -X35238Y-5265D01* -X35245Y-5263D01* -X35249Y-5262D01* -X35251Y-5260D01* -X35252Y-5258D01* -X35254Y-5250D01* -X35257Y-5246D01* -X35259Y-5243D01* -X35261Y-5243D01* -X35265Y-5241D01* -X35270Y-5237D01* -X35272Y-5234D01* -X35278Y-5229D01* -X35285Y-5223D01* -X35291Y-5218D01* -X35298Y-5212D01* -X35305Y-5206D01* -X35310Y-5201D01* -X35316Y-5196D01* -X35322Y-5192D01* -X35326Y-5191D01* -X35331Y-5188D01* -X35337Y-5183D01* -X35344Y-5176D01* -X35349Y-5169D01* -X35354Y-5162D01* -X35355Y-5160D01* -X35358Y-5155D01* -X35362Y-5149D01* -X35364Y-5147D01* -X35368Y-5143D01* -X35374Y-5136D01* -X35381Y-5128D01* -X35387Y-5121D01* -X35395Y-5112D01* -X35402Y-5103D01* -X35410Y-5095D01* -X35414Y-5090D01* -X35420Y-5083D01* -X35425Y-5077D01* -X35428Y-5072D01* -X35429Y-5071D01* -X35432Y-5066D01* -X35436Y-5061D01* -X35440Y-5057D01* -X35444Y-5052D01* -X35446Y-5048D01* -X35449Y-5042D01* -X35452Y-5038D01* -X35453Y-5036D01* -X35455Y-5034D01* -X35458Y-5029D01* -X35459Y-5026D01* -X35463Y-5019D01* -X35467Y-5012D01* -X35469Y-5010D01* -X35473Y-5004D01* -X35476Y-4998D01* -X35477Y-4996D01* -X35480Y-4990D01* -X35484Y-4983D01* -X35484Y-4983D01* -X35487Y-4977D01* -X35490Y-4970D01* -X35492Y-4966D01* -X35496Y-4957D01* -X35502Y-4948D01* -X35507Y-4943D01* -X35509Y-4939D01* -X35509Y-4935D01* -X35509Y-4933D01* -X35509Y-4926D01* -X35512Y-4921D01* -X35514Y-4916D01* -X35517Y-4909D01* -X35519Y-4901D01* -X35519Y-4901D01* -X35521Y-4893D01* -X35524Y-4885D01* -X35527Y-4880D01* -X35530Y-4873D01* -X35533Y-4864D01* -X35535Y-4855D01* -X35537Y-4845D01* -X35539Y-4835D01* -X35542Y-4827D01* -X35542Y-4826D01* -X35545Y-4818D01* -X35547Y-4810D01* -X35548Y-4799D01* -X35550Y-4787D01* -X35551Y-4775D01* -X35552Y-4760D01* -X35554Y-4745D01* -X35556Y-4732D01* -X35557Y-4731D01* -X35558Y-4721D01* -X35559Y-4709D01* -X35560Y-4696D01* -X35562Y-4681D01* -X35563Y-4665D01* -X35563Y-4650D01* -X35564Y-4635D01* -X35564Y-4622D01* -X35564Y-4612D01* -X35564Y-4603D01* -X35563Y-4598D01* -X35563Y-4598D01* -X35562Y-4593D01* -X35561Y-4585D01* -X35561Y-4583D01* -X35561Y-4578D01* -X35560Y-4570D01* -X35559Y-4560D01* -X35557Y-4549D01* -X35556Y-4546D01* -X35555Y-4533D01* -X35553Y-4521D01* -X35551Y-4508D01* -X35551Y-4499D01* -X35550Y-4498D01* -X35549Y-4481D01* -X35547Y-4467D01* -X35544Y-4457D01* -X35541Y-4449D01* -X35539Y-4443D01* -X35537Y-4435D01* -X35536Y-4429D01* -X35534Y-4417D01* -X35532Y-4408D01* -X35529Y-4401D01* -X35526Y-4396D01* -X35523Y-4389D01* -X35522Y-4383D01* -X35522Y-4382D01* -X35521Y-4376D01* -X35518Y-4367D01* -X35514Y-4359D01* -X35510Y-4350D01* -X35506Y-4342D01* -X35504Y-4336D01* -X35503Y-4334D01* -X35500Y-4327D01* -X35497Y-4320D01* -X35496Y-4319D01* -X35492Y-4313D01* -X35489Y-4306D01* -X35489Y-4305D01* -X35486Y-4298D01* -X35483Y-4291D01* -X35482Y-4290D01* -X35478Y-4284D01* -X35475Y-4277D01* -X35474Y-4276D01* -X35472Y-4270D01* -X35468Y-4264D01* -X35467Y-4263D01* -X35463Y-4258D01* -X35461Y-4255D01* -X35461Y-4254D01* -X35460Y-4251D01* -X35457Y-4246D01* -X35456Y-4244D01* -X35452Y-4238D01* -X35448Y-4232D01* -X35448Y-4230D01* -X35446Y-4227D01* -X35442Y-4222D01* -X35438Y-4216D01* -X35431Y-4208D01* -X35422Y-4197D01* -X35421Y-4196D01* -X35416Y-4190D01* -X35413Y-4186D01* -X35412Y-4183D01* -X35412Y-4179D01* -X35412Y-4178D01* -X35412Y-4171D01* -X35410Y-4168D01* -X35405Y-4166D01* -X35403Y-4166D01* -X35397Y-4165D01* -X35393Y-4161D01* -X35389Y-4157D01* -X35385Y-4151D01* -X35381Y-4147D01* -X35376Y-4140D01* -X35369Y-4132D01* -X35364Y-4126D01* -X35359Y-4119D01* -X35354Y-4113D01* -X35350Y-4108D01* -X35345Y-4103D01* -X35341Y-4098D01* -X35340Y-4097D01* -X35337Y-4093D01* -X35335Y-4091D01* -X35335Y-4091D01* -X35332Y-4090D01* -X35328Y-4087D01* -X35323Y-4084D01* -X35318Y-4079D01* -X35311Y-4073D01* -X35303Y-4066D01* -X35296Y-4060D01* -X35286Y-4052D01* -X35279Y-4046D01* -X35274Y-4042D01* -X35271Y-4039D01* -X35269Y-4036D01* -X35267Y-4033D01* -X35266Y-4031D01* -X35262Y-4026D01* -X35255Y-4022D01* -X35253Y-4022D01* -X35246Y-4018D01* -X35238Y-4013D01* -X35237Y-4011D01* -X35231Y-4006D01* -X35225Y-4001D01* -X35223Y-4000D01* -X35217Y-3996D01* -X35212Y-3990D01* -X35211Y-3988D01* -X35207Y-3983D01* -X35204Y-3981D01* -X35201Y-3980D01* -X35198Y-3981D01* -X35193Y-3981D01* -X35188Y-3978D01* -X35185Y-3977D01* -X35180Y-3973D01* -X35177Y-3972D01* -X35176Y-3971D01* -X35174Y-3970D01* -X35170Y-3967D01* -X35170Y-3967D01* -X35165Y-3963D01* -X35159Y-3960D01* -X35154Y-3959D01* -X35147Y-3956D01* -X35142Y-3953D01* -X35135Y-3949D01* -X35129Y-3946D01* -X35125Y-3945D01* -X35120Y-3943D01* -X35113Y-3940D01* -X35110Y-3938D01* -X35103Y-3934D01* -X35097Y-3931D01* -X35090Y-3929D01* -X35085Y-3928D01* -X35078Y-3926D01* -X35071Y-3923D01* -X35070Y-3922D01* -X35065Y-3920D01* -X35058Y-3917D01* -X35050Y-3915D01* -X35049Y-3914D01* -X35040Y-3912D01* -X35032Y-3909D01* -X35026Y-3907D01* -X35025Y-3906D01* -X35020Y-3904D01* -X35016Y-3903D01* -X35015Y-3902D01* -X35012Y-3901D01* -X35008Y-3898D01* -X35007Y-3898D01* -X35003Y-3895D01* -X35000Y-3894D01* -X34997Y-3896D01* -X34991Y-3898D01* -X34982Y-3898D01* -X34970Y-3894D01* -X34969Y-3894D01* -X34956Y-3890D01* -X34941Y-3887D01* -X34939Y-3887D01* -X34930Y-3886D01* -X34923Y-3885D01* -X34918Y-3884D01* -X34917Y-3883D01* -X34914Y-3882D01* -X34909Y-3883D01* -X34908Y-3883D01* -X34903Y-3884D01* -X34895Y-3884D01* -X34884Y-3884D01* -X34872Y-3884D01* -X34860Y-3883D01* -X34847Y-3882D01* -X34835Y-3881D01* -X34830Y-3880D01* -X34817Y-3878D01* -X34806Y-3877D01* -X34796Y-3877D01* -X34784Y-3879D01* -X34779Y-3880D01* -X34772Y-3881D01* -X34763Y-3882D01* -X34751Y-3883D01* -X34737Y-3883D01* -X34722Y-3884D01* -X34713Y-3885D01* -X34697Y-3885D01* -X34683Y-3886D01* -X34673Y-3887D01* -X34665Y-3887D01* -X34659Y-3888D01* -X34654Y-3889D01* -X34649Y-3890D01* -X34644Y-3891D01* -X34638Y-3893D01* -X34637Y-3894D01* -X34628Y-3896D01* -X34618Y-3898D01* -X34607Y-3900D01* -X34606Y-3900D01* -X34597Y-3902D01* -X34588Y-3904D01* -X34582Y-3906D01* -X34581Y-3907D01* -X34575Y-3909D01* -X34567Y-3912D01* -X34558Y-3914D01* -X34558Y-3914D01* -X34549Y-3917D01* -X34540Y-3920D01* -X34533Y-3923D01* -X34525Y-3926D01* -X34516Y-3929D01* -X34512Y-3930D01* -X34504Y-3933D01* -X34497Y-3936D01* -X34495Y-3938D01* -X34488Y-3942D01* -X34482Y-3945D01* -X34480Y-3945D01* -X34473Y-3947D01* -X34467Y-3951D01* -X34467Y-3951D01* -X34462Y-3954D01* -X34457Y-3955D01* -X34455Y-3955D01* -X34452Y-3954D01* -X34448Y-3955D01* -X34444Y-3958D01* -X34439Y-3963D01* -X34439Y-3963D01* -X34432Y-3968D01* -X34427Y-3972D01* -X34422Y-3974D01* -X34422Y-3974D01* -X34417Y-3977D01* -X34412Y-3980D01* -X34407Y-3984D01* -X34401Y-3988D01* -X34398Y-3989D01* -X34387Y-3995D01* -X34378Y-4001D01* -X34371Y-4006D01* -X34369Y-4008D01* -X34363Y-4013D01* -X34356Y-4017D01* -X34355Y-4018D01* -X34349Y-4022D01* -X34344Y-4026D01* -X34344Y-4027D01* -X34339Y-4030D01* -X34334Y-4034D01* -X34333Y-4034D01* -X34328Y-4037D01* -X34322Y-4042D01* -X34315Y-4048D01* -X34314Y-4049D01* -X34308Y-4055D01* -X34299Y-4063D01* -X34291Y-4071D01* -X34287Y-4074D01* -X34274Y-4087D01* -X34262Y-4098D01* -X34250Y-4110D01* -X34237Y-4124D01* -X34237Y-4125D01* -X34230Y-4132D01* -X34223Y-4139D01* -X34218Y-4145D01* -X34216Y-4147D01* -X34206Y-4158D01* -X34197Y-4171D01* -X34196Y-4173D01* -X34193Y-4178D01* -X34188Y-4184D01* -X34186Y-4186D01* -X34182Y-4191D01* -X34178Y-4197D01* -X34177Y-4198D01* -X34174Y-4203D01* -X34168Y-4208D01* -X34167Y-4209D01* -X34161Y-4214D01* -X34159Y-4218D01* -X34159Y-4220D01* -X34158Y-4226D01* -X34154Y-4233D01* -X34150Y-4238D01* -X34145Y-4246D01* -X34140Y-4254D01* -X34137Y-4261D01* -X34134Y-4268D01* -X34130Y-4274D01* -X34128Y-4277D01* -X34124Y-4282D01* -X34122Y-4289D01* -X34122Y-4290D01* -X34119Y-4296D01* -X34116Y-4303D01* -X34115Y-4304D01* -X34111Y-4310D01* -X34108Y-4317D01* -X34107Y-4319D01* -X34105Y-4327D01* -X34101Y-4334D01* -X34100Y-4336D01* -X34097Y-4342D01* -X34094Y-4350D01* -X34092Y-4358D01* -X34089Y-4366D01* -X34086Y-4373D01* -X34084Y-4379D01* -X34081Y-4384D01* -X34079Y-4392D01* -X34076Y-4400D01* -X34076Y-4401D01* -X34074Y-4410D01* -X34071Y-4419D01* -X34069Y-4425D01* -X34069Y-4425D01* -X34067Y-4431D01* -X34065Y-4439D01* -X34063Y-4449D01* -X34062Y-4454D01* -X34061Y-4464D01* -X34059Y-4473D01* -X34057Y-4481D01* -X34057Y-4484D01* -X34055Y-4491D01* -X34053Y-4499D01* -X34052Y-4509D01* -X34051Y-4520D01* -X34050Y-4534D01* -X34049Y-4551D01* -X34047Y-4570D01* -X34047Y-4583D01* -X34046Y-4603D01* -X34045Y-4621D01* -X34045Y-4637D01* -X34045Y-4651D01* -X34045Y-4651D01* -X34045Y-4651D01* -G37* -G36* -X32153Y-4415D02* -X32153Y-4424D01* -X32153Y-4427D01* -X32154Y-4432D01* -X32155Y-4440D01* -X32156Y-4451D01* -X32156Y-4463D01* -X32157Y-4476D01* -X32157Y-4480D01* -X32158Y-4496D01* -X32159Y-4509D01* -X32159Y-4519D01* -X32160Y-4526D01* -X32161Y-4532D01* -X32162Y-4536D01* -X32163Y-4540D01* -X32165Y-4543D01* -X32165Y-4544D01* -X32167Y-4548D01* -X32170Y-4556D01* -X32172Y-4564D01* -X32173Y-4569D01* -X32175Y-4578D01* -X32178Y-4587D01* -X32180Y-4594D01* -X32181Y-4596D01* -X32183Y-4602D01* -X32186Y-4610D01* -X32187Y-4617D01* -X32189Y-4624D01* -X32191Y-4631D01* -X32193Y-4636D01* -X32196Y-4641D01* -X32199Y-4647D01* -X32202Y-4653D01* -X32205Y-4660D01* -X32208Y-4665D01* -X32212Y-4672D01* -X32216Y-4679D01* -X32222Y-4689D01* -X32223Y-4692D01* -X32227Y-4699D01* -X32230Y-4706D01* -X32232Y-4709D01* -X32235Y-4715D01* -X32239Y-4720D01* -X32239Y-4404D01* -X32240Y-4380D01* -X32242Y-4358D01* -X32245Y-4338D01* -X32246Y-4334D01* -X32249Y-4323D01* -X32251Y-4313D01* -X32252Y-4304D01* -X32252Y-4302D01* -X32254Y-4292D01* -X32255Y-4285D01* -X32258Y-4279D01* -X32261Y-4274D01* -X32264Y-4269D01* -X32266Y-4259D01* -X32267Y-4253D01* -X32268Y-4244D01* -X32270Y-4238D01* -X32272Y-4234D01* -X32274Y-4231D01* -X32278Y-4226D01* -X32281Y-4219D01* -X32281Y-4217D01* -X32284Y-4210D01* -X32288Y-4204D01* -X32289Y-4203D01* -X32293Y-4197D01* -X32296Y-4190D01* -X32297Y-4188D01* -X32300Y-4179D01* -X32305Y-4174D01* -X32308Y-4170D01* -X32311Y-4166D01* -X32311Y-4165D01* -X32312Y-4160D01* -X32316Y-4153D01* -X32321Y-4145D01* -X32329Y-4136D01* -X32337Y-4126D01* -X32346Y-4116D01* -X32349Y-4113D01* -X32353Y-4109D01* -X32355Y-4105D01* -X32356Y-4104D01* -X32357Y-4101D01* -X32361Y-4098D01* -X32363Y-4096D01* -X32367Y-4093D01* -X32369Y-4090D01* -X32370Y-4089D01* -X32371Y-4087D01* -X32375Y-4083D01* -X32379Y-4078D01* -X32384Y-4073D01* -X32388Y-4070D01* -X32391Y-4068D01* -X32391Y-4068D01* -X32393Y-4066D01* -X32397Y-4063D01* -X32398Y-4061D01* -X32402Y-4057D01* -X32405Y-4054D01* -X32406Y-4054D01* -X32408Y-4053D01* -X32413Y-4050D01* -X32415Y-4047D01* -X32426Y-4037D01* -X32435Y-4029D01* -X32441Y-4024D01* -X32447Y-4020D01* -X32452Y-4016D01* -X32452Y-4015D01* -X32458Y-4012D01* -X32464Y-4009D01* -X32466Y-4009D01* -X32472Y-4006D01* -X32477Y-4002D01* -X32477Y-4002D01* -X32483Y-3997D01* -X32490Y-3995D01* -X32497Y-3992D01* -X32504Y-3988D01* -X32505Y-3987D01* -X32510Y-3983D01* -X32517Y-3980D01* -X32519Y-3979D01* -X32526Y-3977D01* -X32532Y-3973D01* -X32533Y-3972D01* -X32537Y-3969D01* -X32541Y-3968D01* -X32547Y-3966D01* -X32556Y-3965D01* -X32566Y-3964D01* -X32572Y-3962D01* -X32578Y-3960D01* -X32581Y-3957D01* -X32585Y-3955D01* -X32590Y-3953D01* -X32595Y-3952D01* -X32603Y-3951D01* -X32611Y-3950D01* -X32622Y-3949D01* -X32632Y-3947D01* -X32642Y-3945D01* -X32646Y-3944D01* -X32660Y-3941D01* -X32677Y-3938D01* -X32695Y-3937D01* -X32715Y-3936D01* -X32733Y-3937D01* -X32741Y-3937D01* -X32753Y-3939D01* -X32764Y-3940D01* -X32774Y-3942D01* -X32782Y-3944D01* -X32782Y-3944D01* -X32790Y-3946D01* -X32800Y-3948D01* -X32812Y-3949D01* -X32817Y-3950D01* -X32827Y-3951D01* -X32835Y-3952D01* -X32840Y-3953D01* -X32844Y-3956D01* -X32848Y-3958D01* -X32853Y-3961D01* -X32857Y-3963D01* -X32859Y-3964D01* -X32870Y-3964D01* -X32879Y-3966D01* -X32888Y-3969D01* -X32894Y-3972D01* -X32900Y-3976D01* -X32907Y-3979D01* -X32908Y-3979D01* -X32914Y-3982D01* -X32920Y-3986D01* -X32920Y-3986D01* -X32925Y-3990D01* -X32932Y-3993D01* -X32935Y-3994D01* -X32943Y-3997D01* -X32948Y-4001D01* -X32948Y-4002D01* -X32953Y-4006D01* -X32960Y-4008D01* -X32967Y-4011D01* -X32975Y-4016D01* -X32975Y-4017D01* -X32980Y-4021D01* -X32985Y-4024D01* -X32986Y-4025D01* -X32990Y-4028D01* -X32992Y-4031D01* -X32994Y-4034D01* -X32999Y-4037D01* -X33000Y-4038D01* -X33005Y-4041D01* -X33008Y-4045D01* -X33008Y-4045D01* -X33012Y-4049D01* -X33017Y-4052D01* -X33018Y-4053D01* -X33022Y-4056D01* -X33025Y-4058D01* -X33025Y-4059D01* -X33028Y-4061D01* -X33032Y-4065D01* -X33035Y-4067D01* -X33041Y-4072D01* -X33049Y-4079D01* -X33057Y-4089D01* -X33064Y-4098D01* -X33066Y-4101D01* -X33070Y-4105D01* -X33074Y-4110D01* -X33075Y-4111D01* -X33079Y-4115D01* -X33081Y-4119D01* -X33081Y-4120D01* -X33083Y-4122D01* -X33086Y-4126D01* -X33088Y-4128D01* -X33092Y-4131D01* -X33095Y-4135D01* -X33095Y-4136D01* -X33097Y-4138D01* -X33100Y-4142D01* -X33101Y-4143D01* -X33106Y-4148D01* -X33110Y-4154D01* -X33110Y-4154D01* -X33114Y-4161D01* -X33118Y-4167D01* -X33118Y-4167D01* -X33122Y-4173D01* -X33124Y-4179D01* -X33124Y-4180D01* -X33127Y-4186D01* -X33131Y-4191D01* -X33132Y-4192D01* -X33135Y-4197D01* -X33138Y-4203D01* -X33139Y-4205D01* -X33142Y-4212D01* -X33146Y-4218D01* -X33146Y-4219D01* -X33150Y-4223D01* -X33152Y-4228D01* -X33154Y-4236D01* -X33154Y-4237D01* -X33157Y-4247D01* -X33160Y-4255D01* -X33161Y-4256D01* -X33164Y-4261D01* -X33166Y-4268D01* -X33168Y-4277D01* -X33169Y-4280D01* -X33170Y-4290D01* -X33172Y-4296D01* -X33174Y-4302D01* -X33177Y-4305D01* -X33182Y-4312D01* -X33184Y-4357D01* -X33185Y-4370D01* -X33185Y-4384D01* -X33186Y-4395D01* -X33186Y-4405D01* -X33186Y-4412D01* -X33186Y-4413D01* -X33186Y-4422D01* -X33186Y-4432D01* -X33185Y-4444D01* -X33185Y-4457D01* -X33184Y-4470D01* -X33183Y-4483D01* -X33183Y-4494D01* -X33182Y-4504D01* -X33181Y-4511D01* -X33181Y-4515D01* -X33181Y-4516D01* -X33178Y-4520D01* -X33175Y-4524D01* -X33173Y-4528D01* -X33170Y-4535D01* -X33169Y-4546D01* -X33169Y-4546D01* -X33167Y-4556D01* -X33165Y-4563D01* -X33163Y-4568D01* -X33161Y-4570D01* -X33156Y-4579D01* -X33155Y-4588D01* -X33153Y-4596D01* -X33148Y-4604D01* -X33148Y-4604D01* -X33144Y-4610D01* -X33140Y-4618D01* -X33139Y-4621D01* -X33136Y-4628D01* -X33132Y-4633D01* -X33132Y-4633D01* -X33127Y-4638D01* -X33126Y-4645D01* -X33122Y-4654D01* -X33118Y-4659D01* -X33114Y-4665D01* -X33110Y-4672D01* -X33109Y-4672D01* -X33107Y-4677D01* -X33105Y-4680D01* -X33105Y-4680D01* -X33103Y-4681D01* -X33100Y-4685D01* -X33097Y-4689D01* -X33092Y-4695D01* -X33087Y-4702D01* -X33085Y-4704D01* -X33080Y-4709D01* -X33075Y-4715D01* -X33072Y-4717D01* -X33064Y-4727D01* -X33057Y-4735D01* -X33052Y-4741D01* -X33047Y-4745D01* -X33044Y-4749D01* -X33040Y-4752D01* -X33036Y-4756D01* -X33031Y-4760D01* -X33024Y-4766D01* -X33020Y-4770D01* -X33013Y-4776D01* -X33005Y-4783D01* -X33001Y-4786D01* -X32994Y-4792D01* -X32987Y-4797D01* -X32983Y-4800D01* -X32977Y-4805D01* -X32971Y-4809D01* -X32969Y-4809D01* -X32964Y-4812D01* -X32960Y-4816D01* -X32959Y-4816D01* -X32956Y-4820D01* -X32949Y-4822D01* -X32948Y-4823D01* -X32939Y-4826D01* -X32933Y-4830D01* -X32926Y-4835D01* -X32918Y-4838D01* -X32910Y-4841D01* -X32905Y-4845D01* -X32903Y-4848D01* -X32901Y-4849D01* -X32896Y-4851D01* -X32889Y-4852D01* -X32883Y-4853D01* -X32877Y-4855D01* -X32871Y-4859D01* -X32870Y-4859D01* -X32865Y-4862D01* -X32860Y-4864D01* -X32852Y-4866D01* -X32847Y-4866D01* -X32838Y-4868D01* -X32830Y-4870D01* -X32824Y-4873D01* -X32822Y-4874D01* -X32812Y-4881D01* -X32770Y-4882D01* -X32754Y-4882D01* -X32737Y-4882D01* -X32718Y-4882D01* -X32699Y-4882D01* -X32680Y-4882D01* -X32663Y-4882D01* -X32648Y-4881D01* -X32635Y-4881D01* -X32628Y-4880D01* -X32620Y-4880D01* -X32614Y-4878D01* -X32609Y-4876D01* -X32608Y-4874D01* -X32604Y-4872D01* -X32598Y-4870D01* -X32591Y-4868D01* -X32584Y-4867D01* -X32574Y-4865D01* -X32566Y-4863D01* -X32560Y-4860D01* -X32559Y-4859D01* -X32551Y-4855D01* -X32541Y-4853D01* -X32539Y-4852D01* -X32531Y-4851D01* -X32525Y-4848D01* -X32520Y-4844D01* -X32514Y-4840D01* -X32507Y-4837D01* -X32499Y-4834D01* -X32494Y-4830D01* -X32489Y-4826D01* -X32482Y-4823D01* -X32481Y-4822D01* -X32474Y-4820D01* -X32467Y-4815D01* -X32466Y-4815D01* -X32461Y-4811D01* -X32455Y-4808D01* -X32454Y-4808D01* -X32450Y-4806D01* -X32445Y-4801D01* -X32444Y-4800D01* -X32440Y-4796D01* -X32437Y-4793D01* -X32436Y-4793D01* -X32433Y-4792D01* -X32429Y-4788D01* -X32428Y-4787D01* -X32423Y-4782D01* -X32417Y-4779D01* -X32417Y-4779D01* -X32413Y-4776D01* -X32411Y-4774D01* -X32411Y-4773D01* -X32410Y-4771D01* -X32406Y-4768D01* -X32403Y-4766D01* -X32396Y-4762D01* -X32390Y-4757D01* -X32388Y-4755D01* -X32383Y-4750D01* -X32377Y-4745D01* -X32377Y-4744D01* -X32369Y-4736D01* -X32359Y-4725D01* -X32348Y-4711D01* -X32342Y-4705D01* -X32336Y-4698D01* -X32332Y-4693D01* -X32328Y-4689D01* -X32325Y-4685D01* -X32324Y-4684D01* -X32323Y-4681D01* -X32320Y-4677D01* -X32318Y-4675D01* -X32313Y-4670D01* -X32311Y-4666D01* -X32311Y-4665D01* -X32309Y-4661D01* -X32306Y-4656D01* -X32304Y-4652D01* -X32300Y-4647D01* -X32297Y-4642D01* -X32297Y-4639D01* -X32296Y-4635D01* -X32292Y-4630D01* -X32291Y-4628D01* -X32286Y-4621D01* -X32283Y-4614D01* -X32282Y-4612D01* -X32279Y-4605D01* -X32275Y-4599D01* -X32274Y-4597D01* -X32271Y-4594D01* -X32269Y-4589D01* -X32268Y-4583D01* -X32267Y-4576D01* -X32266Y-4566D01* -X32264Y-4559D01* -X32261Y-4554D01* -X32260Y-4551D01* -X32256Y-4545D01* -X32254Y-4538D01* -X32253Y-4530D01* -X32253Y-4527D01* -X32251Y-4518D01* -X32249Y-4507D01* -X32247Y-4496D01* -X32246Y-4493D01* -X32243Y-4474D01* -X32240Y-4452D01* -X32239Y-4428D01* -X32239Y-4404D01* -X32239Y-4720D01* -X32239Y-4721D01* -X32240Y-4721D01* -X32245Y-4727D01* -X32249Y-4734D01* -X32250Y-4735D01* -X32253Y-4741D01* -X32258Y-4748D01* -X32260Y-4750D01* -X32265Y-4754D01* -X32268Y-4758D01* -X32269Y-4759D01* -X32271Y-4760D01* -X32273Y-4763D01* -X32276Y-4767D01* -X32281Y-4772D01* -X32288Y-4781D01* -X32297Y-4791D01* -X32300Y-4795D01* -X32307Y-4803D01* -X32315Y-4811D01* -X32323Y-4818D01* -X32324Y-4819D01* -X32331Y-4825D01* -X32338Y-4831D01* -X32344Y-4836D01* -X32345Y-4837D01* -X32351Y-4841D01* -X32356Y-4845D01* -X32358Y-4847D01* -X32363Y-4851D01* -X32366Y-4858D01* -X32366Y-4858D01* -X32368Y-4864D01* -X32371Y-4866D01* -X32375Y-4868D01* -X32377Y-4868D01* -X32385Y-4870D01* -X32392Y-4873D01* -X32396Y-4878D01* -X32397Y-4879D01* -X32399Y-4882D01* -X32404Y-4885D01* -X32410Y-4888D01* -X32418Y-4892D01* -X32427Y-4897D01* -X32436Y-4901D01* -X32445Y-4906D01* -X32454Y-4911D01* -X32462Y-4915D01* -X32469Y-4919D01* -X32475Y-4922D01* -X32479Y-4925D01* -X32483Y-4927D01* -X32489Y-4930D01* -X32495Y-4932D01* -X32503Y-4935D01* -X32511Y-4938D01* -X32515Y-4940D01* -X32522Y-4942D01* -X32531Y-4945D01* -X32539Y-4947D01* -X32548Y-4948D01* -X32556Y-4951D01* -X32562Y-4953D01* -X32568Y-4956D01* -X32576Y-4959D01* -X32582Y-4960D01* -X32607Y-4964D01* -X32629Y-4968D01* -X32648Y-4971D01* -X32665Y-4973D01* -X32680Y-4974D01* -X32686Y-4974D01* -X32697Y-4975D01* -X32707Y-4976D01* -X32716Y-4976D01* -X32723Y-4977D01* -X32724Y-4977D01* -X32731Y-4977D01* -X32736Y-4976D01* -X32738Y-4976D01* -X32743Y-4975D01* -X32750Y-4974D01* -X32752Y-4974D01* -X32758Y-4974D01* -X32767Y-4973D01* -X32779Y-4971D01* -X32795Y-4969D01* -X32814Y-4966D01* -X32837Y-4962D01* -X32846Y-4960D01* -X32854Y-4958D01* -X32863Y-4956D01* -X32867Y-4954D01* -X32874Y-4951D01* -X32882Y-4948D01* -X32889Y-4947D01* -X32899Y-4945D01* -X32909Y-4941D01* -X32915Y-4939D01* -X32922Y-4936D01* -X32930Y-4933D01* -X32934Y-4932D01* -X32941Y-4930D01* -X32948Y-4925D01* -X32949Y-4924D01* -X32955Y-4920D01* -X32961Y-4917D01* -X32963Y-4916D01* -X32968Y-4914D01* -X32974Y-4911D01* -X32976Y-4909D01* -X32982Y-4905D01* -X32989Y-4902D01* -X32991Y-4901D01* -X32998Y-4899D01* -X33004Y-4895D01* -X33004Y-4894D01* -X33010Y-4890D01* -X33016Y-4887D01* -X33017Y-4887D01* -X33020Y-4886D01* -X33024Y-4884D01* -X33028Y-4881D01* -X33034Y-4876D01* -X33042Y-4869D01* -X33046Y-4865D01* -X33053Y-4860D01* -X33061Y-4852D01* -X33072Y-4843D01* -X33082Y-4835D01* -X33093Y-4825D01* -X33103Y-4818D01* -X33110Y-4810D01* -X33118Y-4803D01* -X33125Y-4794D01* -X33134Y-4784D01* -X33137Y-4779D01* -X33143Y-4772D01* -X33149Y-4765D01* -X33153Y-4761D01* -X33164Y-4748D01* -X33172Y-4738D01* -X33178Y-4731D01* -X33183Y-4725D01* -X33186Y-4721D01* -X33187Y-4719D01* -X33188Y-4718D01* -X33188Y-4717D01* -X33189Y-4714D01* -X33191Y-4710D01* -X33194Y-4706D01* -X33198Y-4699D01* -X33202Y-4691D01* -X33204Y-4688D01* -X33207Y-4681D01* -X33210Y-4676D01* -X33211Y-4675D01* -X33214Y-4671D01* -X33217Y-4665D01* -X33217Y-4663D01* -X33220Y-4657D01* -X33224Y-4650D01* -X33226Y-4648D01* -X33231Y-4641D01* -X33234Y-4635D01* -X33234Y-4633D01* -X33236Y-4627D01* -X33238Y-4620D01* -X33241Y-4614D01* -X33244Y-4605D01* -X33247Y-4595D01* -X33249Y-4589D01* -X33250Y-4580D01* -X33253Y-4572D01* -X33255Y-4566D01* -X33258Y-4560D01* -X33260Y-4551D01* -X33262Y-4542D01* -X33263Y-4538D01* -X33266Y-4520D01* -X33269Y-4505D01* -X33271Y-4492D01* -X33272Y-4482D01* -X33274Y-4474D01* -X33274Y-4467D01* -X33275Y-4461D01* -X33275Y-4458D01* -X33276Y-4449D01* -X33277Y-4442D01* -X33279Y-4436D01* -X33279Y-4435D01* -X33281Y-4428D01* -X33280Y-4425D01* -X33279Y-4421D01* -X33279Y-4414D01* -X33278Y-4405D01* -X33277Y-4394D01* -X33276Y-4388D01* -X33275Y-4373D01* -X33274Y-4357D01* -X33272Y-4341D01* -X33270Y-4327D01* -X33269Y-4326D01* -X33267Y-4314D01* -X33266Y-4303D01* -X33264Y-4292D01* -X33263Y-4285D01* -X33262Y-4283D01* -X33260Y-4274D01* -X33258Y-4266D01* -X33256Y-4261D01* -X33253Y-4254D01* -X33250Y-4246D01* -X33249Y-4237D01* -X33247Y-4229D01* -X33245Y-4222D01* -X33243Y-4216D01* -X33243Y-4216D01* -X33240Y-4212D01* -X33238Y-4204D01* -X33235Y-4196D01* -X33234Y-4194D01* -X33231Y-4187D01* -X33228Y-4180D01* -X33226Y-4176D01* -X33226Y-4176D01* -X33223Y-4173D01* -X33220Y-4167D01* -X33217Y-4161D01* -X33213Y-4153D01* -X33208Y-4144D01* -X33203Y-4136D01* -X33199Y-4128D01* -X33194Y-4119D01* -X33191Y-4112D01* -X33191Y-4112D01* -X33187Y-4106D01* -X33184Y-4100D01* -X33182Y-4098D01* -X33178Y-4094D01* -X33174Y-4088D01* -X33173Y-4087D01* -X33170Y-4082D01* -X33166Y-4078D01* -X33165Y-4078D01* -X33161Y-4074D01* -X33159Y-4071D01* -X33158Y-4068D01* -X33155Y-4064D01* -X33151Y-4059D01* -X33145Y-4052D01* -X33139Y-4045D01* -X33134Y-4039D01* -X33128Y-4032D01* -X33124Y-4028D01* -X33114Y-4016D01* -X33102Y-4005D01* -X33091Y-3995D01* -X33080Y-3986D01* -X33076Y-3984D01* -X33074Y-3981D01* -X33071Y-3977D01* -X33069Y-3975D01* -X33062Y-3968D01* -X33055Y-3963D01* -X33054Y-3963D01* -X33047Y-3959D01* -X33040Y-3954D01* -X33038Y-3952D01* -X33030Y-3945D01* -X33022Y-3939D01* -X33014Y-3934D01* -X33008Y-3931D01* -X33007Y-3931D01* -X32999Y-3928D01* -X32991Y-3922D01* -X32984Y-3915D01* -X32983Y-3913D01* -X32980Y-3908D01* -X32977Y-3907D01* -X32975Y-3907D01* -X32969Y-3909D01* -X32965Y-3909D01* -X32961Y-3907D01* -X32960Y-3906D01* -X32955Y-3902D01* -X32950Y-3900D01* -X32949Y-3900D01* -X32945Y-3898D01* -X32939Y-3894D01* -X32937Y-3893D01* -X32931Y-3890D01* -X32922Y-3887D01* -X32913Y-3885D01* -X32904Y-3883D01* -X32896Y-3880D01* -X32890Y-3878D01* -X32888Y-3877D01* -X32883Y-3875D01* -X32877Y-3873D01* -X32868Y-3871D01* -X32865Y-3870D01* -X32856Y-3868D01* -X32848Y-3866D01* -X32842Y-3864D01* -X32841Y-3863D01* -X32837Y-3861D01* -X32834Y-3860D01* -X32829Y-3859D01* -X32821Y-3858D01* -X32811Y-3857D01* -X32803Y-3857D01* -X32789Y-3856D01* -X32773Y-3855D01* -X32757Y-3854D01* -X32742Y-3853D01* -X32740Y-3853D01* -X32729Y-3852D01* -X32719Y-3852D01* -X32711Y-3852D01* -X32706Y-3852D01* -X32705Y-3852D01* -X32702Y-3852D01* -X32697Y-3853D01* -X32688Y-3853D01* -X32677Y-3854D01* -X32664Y-3855D01* -X32652Y-3855D01* -X32637Y-3856D01* -X32625Y-3856D01* -X32615Y-3857D01* -X32608Y-3858D01* -X32603Y-3858D01* -X32598Y-3859D01* -X32595Y-3860D01* -X32591Y-3862D01* -X32588Y-3863D01* -X32579Y-3867D01* -X32568Y-3870D01* -X32559Y-3872D01* -X32551Y-3874D01* -X32544Y-3876D01* -X32539Y-3878D01* -X32538Y-3878D01* -X32534Y-3880D01* -X32527Y-3882D01* -X32518Y-3884D01* -X32514Y-3885D01* -X32502Y-3888D01* -X32494Y-3890D01* -X32491Y-3893D01* -X32486Y-3896D01* -X32480Y-3899D01* -X32476Y-3901D01* -X32469Y-3904D01* -X32462Y-3907D01* -X32460Y-3909D01* -X32454Y-3913D01* -X32448Y-3916D01* -X32448Y-3916D01* -X32441Y-3918D01* -X32435Y-3922D01* -X32434Y-3923D01* -X32428Y-3927D01* -X32422Y-3930D01* -X32421Y-3930D01* -X32414Y-3933D01* -X32408Y-3937D01* -X32408Y-3937D01* -X32403Y-3940D01* -X32400Y-3942D01* -X32400Y-3942D01* -X32397Y-3943D01* -X32393Y-3946D01* -X32390Y-3949D01* -X32384Y-3954D01* -X32377Y-3961D01* -X32371Y-3965D01* -X32364Y-3971D01* -X32357Y-3977D01* -X32352Y-3982D01* -X32348Y-3986D01* -X32344Y-3989D01* -X32342Y-3989D01* -X32340Y-3990D01* -X32335Y-3994D01* -X32329Y-4000D01* -X32322Y-4006D01* -X32314Y-4014D01* -X32307Y-4021D01* -X32301Y-4028D01* -X32296Y-4034D01* -X32292Y-4038D01* -X32292Y-4039D01* -X32290Y-4043D01* -X32286Y-4048D01* -X32283Y-4050D01* -X32278Y-4056D01* -X32272Y-4063D01* -X32267Y-4069D01* -X32262Y-4076D01* -X32256Y-4082D01* -X32252Y-4087D01* -X32248Y-4091D01* -X32246Y-4095D01* -X32246Y-4096D01* -X32245Y-4098D01* -X32242Y-4102D01* -X32241Y-4103D01* -X32237Y-4109D01* -X32233Y-4116D01* -X32233Y-4117D01* -X32230Y-4124D01* -X32226Y-4131D01* -X32225Y-4132D01* -X32221Y-4138D01* -X32218Y-4144D01* -X32218Y-4145D01* -X32216Y-4151D01* -X32212Y-4157D01* -X32210Y-4159D01* -X32207Y-4165D01* -X32204Y-4171D01* -X32203Y-4173D01* -X32201Y-4178D01* -X32198Y-4184D01* -X32196Y-4186D01* -X32192Y-4193D01* -X32190Y-4200D01* -X32187Y-4210D01* -X32185Y-4219D01* -X32181Y-4230D01* -X32178Y-4241D01* -X32177Y-4242D01* -X32173Y-4251D01* -X32171Y-4257D01* -X32170Y-4263D01* -X32170Y-4266D01* -X32170Y-4272D01* -X32169Y-4278D01* -X32166Y-4284D01* -X32164Y-4287D01* -X32162Y-4291D01* -X32161Y-4295D01* -X32160Y-4300D01* -X32160Y-4308D01* -X32159Y-4318D01* -X32159Y-4327D01* -X32158Y-4341D01* -X32157Y-4356D01* -X32156Y-4372D01* -X32155Y-4385D01* -X32154Y-4389D01* -X32153Y-4404D01* -X32153Y-4415D01* -X32153Y-4415D01* -X32153Y-4415D01* -G37* -G36* -X30754Y-4412D02* -X30754Y-4427D01* -X30754Y-4440D01* -X30755Y-4452D01* -X30755Y-4458D01* -X30757Y-4465D01* -X30758Y-4476D01* -X30760Y-4488D01* -X30762Y-4502D01* -X30764Y-4516D01* -X30765Y-4529D01* -X30766Y-4534D01* -X30768Y-4545D01* -X30771Y-4556D01* -X30773Y-4564D01* -X30777Y-4573D01* -X30779Y-4583D01* -X30781Y-4591D01* -X30783Y-4601D01* -X30786Y-4610D01* -X30788Y-4614D01* -X30791Y-4620D01* -X30793Y-4626D01* -X30793Y-4627D01* -X30794Y-4632D01* -X30796Y-4638D01* -X30798Y-4641D01* -X30802Y-4648D01* -X30806Y-4656D01* -X30808Y-4660D01* -X30811Y-4667D01* -X30814Y-4672D01* -X30816Y-4674D01* -X30818Y-4678D01* -X30821Y-4684D01* -X30821Y-4687D01* -X30824Y-4694D01* -X30829Y-4701D01* -X30831Y-4705D01* -X30836Y-4710D01* -X30839Y-4716D01* -X30841Y-4719D01* -X30843Y-4723D01* -X30845Y-4727D01* -X30845Y-4416D01* -X30845Y-4397D01* -X30845Y-4379D01* -X30846Y-4363D01* -X30846Y-4355D01* -X30846Y-4341D01* -X30847Y-4330D01* -X30847Y-4322D01* -X30848Y-4317D01* -X30849Y-4313D01* -X30850Y-4310D01* -X30851Y-4308D01* -X30853Y-4306D01* -X30857Y-4298D01* -X30859Y-4291D01* -X30861Y-4278D01* -X30863Y-4268D01* -X30865Y-4261D01* -X30868Y-4255D01* -X30869Y-4254D01* -X30873Y-4246D01* -X30875Y-4236D01* -X30876Y-4227D01* -X30879Y-4222D01* -X30882Y-4218D01* -X30886Y-4213D01* -X30889Y-4206D01* -X30890Y-4203D01* -X30893Y-4196D01* -X30897Y-4191D01* -X30898Y-4190D01* -X30903Y-4184D01* -X30905Y-4178D01* -X30907Y-4171D01* -X30911Y-4165D01* -X30911Y-4164D01* -X30916Y-4158D01* -X30919Y-4152D01* -X30919Y-4151D01* -X30923Y-4145D01* -X30927Y-4142D01* -X30931Y-4138D01* -X30934Y-4134D01* -X30936Y-4130D01* -X30940Y-4125D01* -X30942Y-4124D01* -X30946Y-4119D01* -X30952Y-4112D01* -X30955Y-4107D01* -X30967Y-4093D01* -X30977Y-4081D01* -X30986Y-4072D01* -X30996Y-4064D01* -X31006Y-4056D01* -X31010Y-4053D01* -X31015Y-4049D01* -X31019Y-4045D01* -X31020Y-4045D01* -X31024Y-4041D01* -X31027Y-4038D01* -X31032Y-4035D01* -X31037Y-4031D01* -X31038Y-4030D01* -X31043Y-4025D01* -X31049Y-4019D01* -X31051Y-4018D01* -X31058Y-4013D01* -X31065Y-4009D01* -X31067Y-4009D01* -X31074Y-4006D01* -X31080Y-4002D01* -X31081Y-4001D01* -X31087Y-3997D01* -X31093Y-3994D01* -X31094Y-3994D01* -X31100Y-3992D01* -X31106Y-3988D01* -X31107Y-3987D01* -X31113Y-3983D01* -X31120Y-3980D01* -X31120Y-3980D01* -X31127Y-3977D01* -X31134Y-3973D01* -X31135Y-3972D01* -X31140Y-3969D01* -X31146Y-3967D01* -X31154Y-3966D01* -X31158Y-3965D01* -X31168Y-3964D01* -X31175Y-3962D01* -X31181Y-3958D01* -X31183Y-3958D01* -X31188Y-3955D01* -X31193Y-3953D01* -X31199Y-3951D01* -X31208Y-3950D01* -X31212Y-3950D01* -X31224Y-3948D01* -X31236Y-3946D01* -X31249Y-3944D01* -X31252Y-3943D01* -X31272Y-3939D01* -X31293Y-3937D01* -X31316Y-3936D01* -X31338Y-3937D01* -X31360Y-3939D01* -X31379Y-3942D01* -X31384Y-3944D01* -X31393Y-3946D01* -X31404Y-3948D01* -X31415Y-3949D01* -X31418Y-3950D01* -X31429Y-3951D01* -X31436Y-3952D01* -X31441Y-3953D01* -X31446Y-3955D01* -X31449Y-3957D01* -X31451Y-3959D01* -X31455Y-3961D01* -X31461Y-3963D01* -X31469Y-3964D01* -X31472Y-3965D01* -X31481Y-3966D01* -X31487Y-3967D01* -X31491Y-3969D01* -X31495Y-3972D01* -X31495Y-3972D01* -X31501Y-3976D01* -X31507Y-3978D01* -X31508Y-3979D01* -X31517Y-3981D01* -X31523Y-3985D01* -X31526Y-3988D01* -X31531Y-3992D01* -X31538Y-3994D01* -X31546Y-3997D01* -X31553Y-4002D01* -X31558Y-4006D01* -X31564Y-4009D01* -X31566Y-4010D01* -X31571Y-4011D01* -X31574Y-4013D01* -X31574Y-4013D01* -X31576Y-4015D01* -X31580Y-4019D01* -X31583Y-4021D01* -X31603Y-4037D01* -X31614Y-4047D01* -X31618Y-4051D01* -X31622Y-4053D01* -X31623Y-4054D01* -X31625Y-4055D01* -X31629Y-4059D01* -X31630Y-4061D01* -X31634Y-4065D01* -X31637Y-4068D01* -X31638Y-4068D01* -X31640Y-4069D01* -X31644Y-4073D01* -X31650Y-4079D01* -X31657Y-4087D01* -X31665Y-4095D01* -X31667Y-4097D01* -X31676Y-4108D01* -X31684Y-4117D01* -X31690Y-4124D01* -X31694Y-4129D01* -X31699Y-4135D01* -X31704Y-4142D01* -X31706Y-4145D01* -X31710Y-4150D01* -X31713Y-4155D01* -X31714Y-4155D01* -X31717Y-4160D01* -X31719Y-4165D01* -X31721Y-4171D01* -X31725Y-4176D01* -X31726Y-4177D01* -X31731Y-4183D01* -X31733Y-4189D01* -X31733Y-4190D01* -X31735Y-4196D01* -X31739Y-4202D01* -X31741Y-4204D01* -X31746Y-4211D01* -X31749Y-4219D01* -X31752Y-4227D01* -X31756Y-4232D01* -X31759Y-4237D01* -X31762Y-4242D01* -X31763Y-4249D01* -X31764Y-4258D01* -X31765Y-4265D01* -X31766Y-4270D01* -X31769Y-4274D01* -X31771Y-4276D01* -X31773Y-4280D01* -X31775Y-4286D01* -X31777Y-4294D01* -X31778Y-4299D01* -X31779Y-4308D01* -X31781Y-4317D01* -X31783Y-4323D01* -X31783Y-4324D01* -X31786Y-4330D01* -X31788Y-4338D01* -X31789Y-4345D01* -X31790Y-4358D01* -X31791Y-4373D01* -X31792Y-4391D01* -X31792Y-4410D01* -X31792Y-4428D01* -X31792Y-4445D01* -X31791Y-4453D01* -X31790Y-4466D01* -X31789Y-4476D01* -X31788Y-4485D01* -X31786Y-4492D01* -X31784Y-4500D01* -X31783Y-4503D01* -X31781Y-4511D01* -X31779Y-4520D01* -X31777Y-4526D01* -X31777Y-4528D01* -X31776Y-4539D01* -X31773Y-4548D01* -X31769Y-4553D01* -X31767Y-4558D01* -X31764Y-4566D01* -X31763Y-4577D01* -X31762Y-4588D01* -X31760Y-4590D01* -X31757Y-4594D01* -X31756Y-4596D01* -X31752Y-4602D01* -X31748Y-4609D01* -X31748Y-4610D01* -X31745Y-4616D01* -X31742Y-4622D01* -X31741Y-4623D01* -X31737Y-4628D01* -X31734Y-4635D01* -X31734Y-4636D01* -X31731Y-4643D01* -X31727Y-4649D01* -X31726Y-4650D01* -X31722Y-4656D01* -X31719Y-4662D01* -X31719Y-4663D01* -X31716Y-4671D01* -X31711Y-4675D01* -X31707Y-4679D01* -X31705Y-4682D01* -X31704Y-4683D01* -X31703Y-4686D01* -X31699Y-4689D01* -X31698Y-4691D01* -X31693Y-4695D01* -X31691Y-4698D01* -X31691Y-4699D01* -X31689Y-4702D01* -X31686Y-4706D01* -X31683Y-4709D01* -X31678Y-4714D01* -X31672Y-4721D01* -X31667Y-4727D01* -X31658Y-4738D01* -X31649Y-4748D01* -X31639Y-4757D01* -X31631Y-4763D01* -X31629Y-4765D01* -X31623Y-4769D01* -X31619Y-4772D01* -X31618Y-4773D01* -X31615Y-4777D01* -X31610Y-4779D01* -X31606Y-4782D01* -X31603Y-4785D01* -X31603Y-4786D01* -X31601Y-4789D01* -X31596Y-4792D01* -X31594Y-4793D01* -X31589Y-4796D01* -X31586Y-4800D01* -X31585Y-4801D01* -X31583Y-4804D01* -X31578Y-4807D01* -X31576Y-4808D01* -X31569Y-4811D01* -X31564Y-4815D01* -X31563Y-4816D01* -X31558Y-4819D01* -X31551Y-4822D01* -X31550Y-4822D01* -X31543Y-4825D01* -X31537Y-4830D01* -X31536Y-4830D01* -X31529Y-4835D01* -X31523Y-4837D01* -X31515Y-4840D01* -X31510Y-4844D01* -X31506Y-4848D01* -X31501Y-4850D01* -X31493Y-4852D01* -X31492Y-4852D01* -X31479Y-4856D01* -X31471Y-4860D01* -X31465Y-4863D01* -X31459Y-4865D01* -X31452Y-4866D01* -X31447Y-4867D01* -X31438Y-4868D01* -X31432Y-4869D01* -X31428Y-4871D01* -X31424Y-4874D01* -X31419Y-4877D01* -X31415Y-4880D01* -X31414Y-4880D01* -X31407Y-4881D01* -X31397Y-4881D01* -X31384Y-4881D01* -X31369Y-4882D01* -X31352Y-4882D01* -X31334Y-4882D01* -X31316Y-4882D01* -X31297Y-4882D01* -X31280Y-4882D01* -X31264Y-4882D01* -X31250Y-4881D01* -X31238Y-4881D01* -X31229Y-4881D01* -X31229Y-4880D01* -X31219Y-4879D01* -X31210Y-4875D01* -X31208Y-4874D01* -X31202Y-4870D01* -X31195Y-4868D01* -X31185Y-4867D01* -X31183Y-4866D01* -X31174Y-4865D01* -X31168Y-4863D01* -X31162Y-4860D01* -X31160Y-4859D01* -X31153Y-4855D01* -X31143Y-4853D01* -X31142Y-4852D01* -X31133Y-4851D01* -X31128Y-4848D01* -X31124Y-4846D01* -X31118Y-4841D01* -X31112Y-4838D01* -X31111Y-4838D01* -X31104Y-4835D01* -X31098Y-4831D01* -X31097Y-4830D01* -X31091Y-4826D01* -X31084Y-4823D01* -X31082Y-4823D01* -X31075Y-4820D01* -X31070Y-4816D01* -X31065Y-4812D01* -X31059Y-4809D01* -X31059Y-4809D01* -X31053Y-4806D01* -X31047Y-4802D01* -X31043Y-4799D01* -X31037Y-4794D01* -X31030Y-4788D01* -X31025Y-4784D01* -X31018Y-4778D01* -X31012Y-4773D01* -X31008Y-4769D01* -X30998Y-4761D01* -X30990Y-4754D01* -X30985Y-4749D01* -X30980Y-4745D01* -X30977Y-4742D01* -X30974Y-4739D01* -X30971Y-4735D01* -X30970Y-4734D01* -X30964Y-4728D01* -X30958Y-4721D01* -X30956Y-4719D01* -X30952Y-4715D01* -X30949Y-4711D01* -X30949Y-4710D01* -X30947Y-4709D01* -X30944Y-4705D01* -X30939Y-4700D01* -X30936Y-4696D01* -X30929Y-4689D01* -X30924Y-4683D01* -X30920Y-4677D01* -X30919Y-4675D01* -X30916Y-4669D01* -X30912Y-4664D01* -X30911Y-4663D01* -X30908Y-4659D01* -X30905Y-4653D01* -X30904Y-4650D01* -X30902Y-4644D01* -X30899Y-4639D01* -X30897Y-4638D01* -X30895Y-4634D01* -X30892Y-4628D01* -X30891Y-4625D01* -X30888Y-4619D01* -X30886Y-4614D01* -X30884Y-4613D01* -X30880Y-4606D01* -X30876Y-4597D01* -X30875Y-4590D01* -X30872Y-4580D01* -X30868Y-4571D01* -X30865Y-4565D01* -X30862Y-4560D01* -X30861Y-4552D01* -X30860Y-4545D01* -X30859Y-4535D01* -X30857Y-4528D01* -X30855Y-4525D01* -X30853Y-4522D01* -X30851Y-4518D01* -X30850Y-4514D01* -X30848Y-4509D01* -X30847Y-4502D01* -X30847Y-4492D01* -X30846Y-4480D01* -X30846Y-4464D01* -X30845Y-4453D01* -X30845Y-4435D01* -X30845Y-4416D01* -X30845Y-4727D01* -X30847Y-4729D01* -X30852Y-4736D01* -X30856Y-4741D01* -X30866Y-4752D01* -X30873Y-4760D01* -X30878Y-4766D01* -X30881Y-4770D01* -X30884Y-4773D01* -X30885Y-4775D01* -X30886Y-4777D01* -X30886Y-4777D01* -X30889Y-4781D01* -X30892Y-4783D01* -X30897Y-4787D01* -X30899Y-4791D01* -X30903Y-4796D01* -X30908Y-4803D01* -X30915Y-4811D01* -X30922Y-4818D01* -X30929Y-4823D01* -X30932Y-4825D01* -X30938Y-4829D01* -X30945Y-4834D01* -X30946Y-4835D01* -X30951Y-4840D01* -X30957Y-4845D01* -X30963Y-4850D01* -X30970Y-4856D01* -X30978Y-4862D01* -X30987Y-4869D01* -X30990Y-4872D01* -X30997Y-4878D01* -X31003Y-4883D01* -X31009Y-4886D01* -X31011Y-4887D01* -X31016Y-4889D01* -X31022Y-4892D01* -X31024Y-4894D01* -X31030Y-4898D01* -X31037Y-4901D01* -X31038Y-4901D01* -X31045Y-4904D01* -X31050Y-4908D01* -X31051Y-4908D01* -X31056Y-4912D01* -X31062Y-4915D01* -X31066Y-4916D01* -X31072Y-4919D01* -X31078Y-4922D01* -X31080Y-4923D01* -X31086Y-4928D01* -X31093Y-4932D01* -X31098Y-4933D01* -X31102Y-4934D01* -X31109Y-4936D01* -X31117Y-4939D01* -X31125Y-4943D01* -X31132Y-4947D01* -X31136Y-4948D01* -X31142Y-4948D01* -X31146Y-4949D01* -X31156Y-4950D01* -X31164Y-4953D01* -X31170Y-4956D01* -X31179Y-4959D01* -X31191Y-4961D01* -X31199Y-4962D01* -X31210Y-4964D01* -X31222Y-4966D01* -X31233Y-4968D01* -X31241Y-4969D01* -X31248Y-4971D01* -X31259Y-4972D01* -X31271Y-4973D01* -X31284Y-4974D01* -X31297Y-4975D01* -X31309Y-4976D01* -X31319Y-4976D01* -X31325Y-4976D01* -X31329Y-4976D01* -X31337Y-4975D01* -X31346Y-4975D01* -X31353Y-4974D01* -X31365Y-4973D01* -X31378Y-4972D01* -X31391Y-4970D01* -X31398Y-4969D01* -X31410Y-4967D01* -X31423Y-4965D01* -X31435Y-4963D01* -X31441Y-4961D01* -X31452Y-4960D01* -X31461Y-4957D01* -X31467Y-4955D01* -X31468Y-4954D01* -X31472Y-4952D01* -X31480Y-4950D01* -X31489Y-4947D01* -X31492Y-4947D01* -X31501Y-4944D01* -X31511Y-4942D01* -X31518Y-4939D01* -X31520Y-4938D01* -X31527Y-4935D01* -X31535Y-4932D01* -X31539Y-4931D01* -X31545Y-4928D01* -X31552Y-4925D01* -X31553Y-4924D01* -X31558Y-4920D01* -X31565Y-4917D01* -X31567Y-4916D01* -X31573Y-4913D01* -X31578Y-4910D01* -X31579Y-4909D01* -X31583Y-4907D01* -X31589Y-4903D01* -X31594Y-4901D01* -X31606Y-4895D01* -X31615Y-4890D01* -X31622Y-4886D01* -X31627Y-4883D01* -X31630Y-4880D01* -X31632Y-4878D01* -X31636Y-4874D01* -X31640Y-4872D01* -X31641Y-4872D01* -X31643Y-4870D01* -X31647Y-4867D01* -X31650Y-4864D01* -X31654Y-4860D01* -X31658Y-4857D01* -X31659Y-4856D01* -X31661Y-4855D01* -X31666Y-4851D01* -X31672Y-4846D01* -X31680Y-4840D01* -X31689Y-4832D01* -X31697Y-4824D01* -X31706Y-4817D01* -X31713Y-4810D01* -X31720Y-4804D01* -X31724Y-4799D01* -X31726Y-4797D01* -X31730Y-4792D01* -X31735Y-4786D01* -X31736Y-4786D01* -X31740Y-4780D01* -X31744Y-4775D01* -X31744Y-4775D01* -X31749Y-4770D01* -X31756Y-4767D01* -X31761Y-4766D01* -X31763Y-4763D01* -X31763Y-4760D01* -X31765Y-4753D01* -X31768Y-4745D01* -X31773Y-4739D01* -X31774Y-4739D01* -X31779Y-4735D01* -X31783Y-4729D01* -X31783Y-4728D01* -X31787Y-4723D01* -X31790Y-4718D01* -X31791Y-4717D01* -X31794Y-4713D01* -X31797Y-4708D01* -X31797Y-4706D01* -X31801Y-4698D01* -X31806Y-4689D01* -X31808Y-4688D01* -X31814Y-4679D01* -X31817Y-4671D01* -X31819Y-4665D01* -X31821Y-4661D01* -X31822Y-4660D01* -X31824Y-4657D01* -X31827Y-4652D01* -X31831Y-4645D01* -X31835Y-4638D01* -X31840Y-4630D01* -X31843Y-4626D01* -X31847Y-4622D01* -X31849Y-4619D01* -X31848Y-4616D01* -X31847Y-4615D01* -X31845Y-4610D01* -X31845Y-4605D01* -X31847Y-4599D01* -X31850Y-4591D01* -X31853Y-4585D01* -X31855Y-4579D01* -X31856Y-4576D01* -X31857Y-4568D01* -X31859Y-4558D01* -X31863Y-4548D01* -X31865Y-4543D01* -X31870Y-4530D01* -X31873Y-4519D01* -X31873Y-4510D01* -X31873Y-4505D01* -X31873Y-4497D01* -X31873Y-4486D01* -X31874Y-4474D01* -X31875Y-4462D01* -X31876Y-4450D01* -X31877Y-4439D01* -X31878Y-4430D01* -X31878Y-4429D01* -X31880Y-4421D01* -X31880Y-4415D01* -X31880Y-4408D01* -X31879Y-4399D01* -X31878Y-4397D01* -X31877Y-4390D01* -X31876Y-4379D01* -X31875Y-4367D01* -X31874Y-4353D01* -X31873Y-4339D01* -X31873Y-4337D01* -X31872Y-4323D01* -X31871Y-4312D01* -X31870Y-4303D01* -X31869Y-4297D01* -X31868Y-4292D01* -X31867Y-4288D01* -X31866Y-4285D01* -X31865Y-4284D01* -X31862Y-4277D01* -X31859Y-4268D01* -X31857Y-4258D01* -X31857Y-4257D01* -X31855Y-4249D01* -X31853Y-4240D01* -X31851Y-4235D01* -X31850Y-4234D01* -X31848Y-4228D01* -X31845Y-4221D01* -X31843Y-4212D01* -X31843Y-4211D01* -X31841Y-4200D01* -X31837Y-4192D01* -X31835Y-4188D01* -X31830Y-4181D01* -X31827Y-4174D01* -X31827Y-4173D01* -X31824Y-4167D01* -X31821Y-4162D01* -X31821Y-4161D01* -X31818Y-4157D01* -X31814Y-4151D01* -X31812Y-4147D01* -X31805Y-4132D01* -X31798Y-4121D01* -X31793Y-4111D01* -X31790Y-4106D01* -X31787Y-4102D01* -X31785Y-4099D01* -X31785Y-4098D01* -X31784Y-4096D01* -X31781Y-4092D01* -X31778Y-4088D01* -X31773Y-4083D01* -X31767Y-4075D01* -X31761Y-4068D01* -X31761Y-4068D01* -X31755Y-4061D01* -X31748Y-4054D01* -X31743Y-4048D01* -X31743Y-4048D01* -X31739Y-4043D01* -X31736Y-4039D01* -X31736Y-4038D01* -X31735Y-4034D01* -X31735Y-4029D01* -X31735Y-4028D01* -X31735Y-4024D01* -X31733Y-4022D01* -X31728Y-4021D01* -X31721Y-4019D01* -X31716Y-4015D01* -X31711Y-4010D01* -X31709Y-4006D01* -X31705Y-4000D01* -X31701Y-3996D01* -X31695Y-3993D01* -X31694Y-3993D01* -X31687Y-3989D01* -X31679Y-3984D01* -X31677Y-3982D01* -X31672Y-3977D01* -X31665Y-3970D01* -X31657Y-3965D01* -X31657Y-3964D01* -X31651Y-3959D01* -X31645Y-3954D01* -X31641Y-3950D01* -X31641Y-3950D01* -X31633Y-3944D01* -X31621Y-3937D01* -X31610Y-3931D01* -X31603Y-3928D01* -X31598Y-3924D01* -X31595Y-3922D01* -X31591Y-3920D01* -X31585Y-3917D01* -X31582Y-3916D01* -X31576Y-3913D01* -X31571Y-3910D01* -X31570Y-3908D01* -X31566Y-3905D01* -X31560Y-3903D01* -X31556Y-3901D01* -X31549Y-3899D01* -X31543Y-3895D01* -X31541Y-3894D01* -X31537Y-3891D01* -X31531Y-3888D01* -X31522Y-3886D01* -X31519Y-3886D01* -X31505Y-3882D01* -X31490Y-3877D01* -X31487Y-3875D01* -X31478Y-3871D01* -X31472Y-3869D01* -X31467Y-3868D01* -X31463Y-3868D01* -X31458Y-3869D01* -X31452Y-3867D01* -X31444Y-3864D01* -X31443Y-3864D01* -X31439Y-3862D01* -X31435Y-3860D01* -X31431Y-3859D01* -X31427Y-3858D01* -X31421Y-3857D01* -X31413Y-3857D01* -X31403Y-3856D01* -X31390Y-3856D01* -X31380Y-3855D01* -X31366Y-3855D01* -X31354Y-3854D01* -X31343Y-3853D01* -X31335Y-3853D01* -X31330Y-3852D01* -X31328Y-3852D01* -X31326Y-3852D01* -X31320Y-3852D01* -X31311Y-3852D01* -X31301Y-3852D01* -X31291Y-3853D01* -X31277Y-3854D01* -X31262Y-3855D01* -X31246Y-3856D01* -X31233Y-3857D01* -X31228Y-3857D01* -X31216Y-3858D01* -X31208Y-3858D01* -X31201Y-3859D01* -X31196Y-3861D01* -X31191Y-3863D01* -X31190Y-3863D01* -X31183Y-3866D01* -X31174Y-3869D01* -X31165Y-3871D01* -X31164Y-3871D01* -X31156Y-3872D01* -X31148Y-3875D01* -X31142Y-3877D01* -X31142Y-3877D01* -X31136Y-3880D01* -X31129Y-3882D01* -X31120Y-3884D01* -X31117Y-3885D01* -X31106Y-3888D01* -X31097Y-3891D01* -X31092Y-3893D01* -X31087Y-3897D01* -X31083Y-3898D01* -X31082Y-3899D01* -X31079Y-3900D01* -X31074Y-3903D01* -X31072Y-3904D01* -X31065Y-3909D01* -X31060Y-3910D01* -X31055Y-3909D01* -X31055Y-3908D01* -X31053Y-3908D01* -X31049Y-3911D01* -X31043Y-3916D01* -X31042Y-3917D01* -X31034Y-3925D01* -X31027Y-3929D01* -X31024Y-3930D01* -X31018Y-3932D01* -X31011Y-3936D01* -X31007Y-3939D01* -X31002Y-3943D01* -X30998Y-3945D01* -X30996Y-3946D01* -X30994Y-3947D01* -X30990Y-3951D01* -X30989Y-3953D01* -X30985Y-3957D01* -X30982Y-3959D01* -X30981Y-3960D01* -X30979Y-3961D01* -X30974Y-3964D01* -X30967Y-3969D01* -X30960Y-3976D01* -X30952Y-3982D01* -X30944Y-3990D01* -X30936Y-3996D01* -X30930Y-4001D01* -X30926Y-4004D01* -X30925Y-4005D01* -X30923Y-4006D01* -X30920Y-4010D01* -X30915Y-4015D01* -X30911Y-4020D01* -X30901Y-4031D01* -X30894Y-4039D01* -X30888Y-4046D01* -X30884Y-4051D01* -X30881Y-4054D01* -X30878Y-4057D01* -X30877Y-4058D01* -X30873Y-4063D01* -X30870Y-4069D01* -X30870Y-4069D01* -X30867Y-4074D01* -X30863Y-4077D01* -X30859Y-4081D01* -X30855Y-4086D01* -X30855Y-4086D01* -X30852Y-4091D01* -X30849Y-4095D01* -X30848Y-4095D01* -X30845Y-4098D01* -X30842Y-4103D01* -X30838Y-4110D01* -X30832Y-4123D01* -X30826Y-4134D01* -X30822Y-4142D01* -X30819Y-4147D01* -X30817Y-4149D01* -X30815Y-4152D01* -X30813Y-4158D01* -X30812Y-4160D01* -X30809Y-4167D01* -X30805Y-4173D01* -X30804Y-4174D01* -X30801Y-4178D01* -X30798Y-4185D01* -X30795Y-4193D01* -X30795Y-4194D01* -X30793Y-4202D01* -X30790Y-4210D01* -X30787Y-4215D01* -X30787Y-4216D01* -X30785Y-4221D01* -X30783Y-4229D01* -X30781Y-4237D01* -X30780Y-4240D01* -X30779Y-4248D01* -X30777Y-4256D01* -X30775Y-4261D01* -X30774Y-4262D01* -X30771Y-4269D01* -X30768Y-4277D01* -X30765Y-4285D01* -X30764Y-4292D01* -X30764Y-4294D01* -X30765Y-4299D01* -X30764Y-4307D01* -X30763Y-4317D01* -X30762Y-4328D01* -X30760Y-4341D01* -X30758Y-4353D01* -X30757Y-4363D01* -X30755Y-4372D01* -X30754Y-4384D01* -X30754Y-4398D01* -X30754Y-4412D01* -X30754Y-4412D01* -X30754Y-4412D01* -G37* -G36* -X34825Y-4506D02* -X34827Y-4509D01* -X34831Y-4514D01* -X34837Y-4518D01* -X34843Y-4521D01* -X34847Y-4522D01* -X34854Y-4524D01* -X34862Y-4529D01* -X34863Y-4529D01* -X34869Y-4534D01* -X34875Y-4537D01* -X34878Y-4537D01* -X34884Y-4540D01* -X34891Y-4544D01* -X34891Y-4544D01* -X34897Y-4549D01* -X34904Y-4551D01* -X34910Y-4552D01* -X34915Y-4550D01* -X34917Y-4547D01* -X34918Y-4542D01* -X34920Y-4538D01* -X34925Y-4532D01* -X34931Y-4525D01* -X34938Y-4519D01* -X34945Y-4513D01* -X34950Y-4509D01* -X34954Y-4508D01* -X34959Y-4505D01* -X34965Y-4502D01* -X34967Y-4500D01* -X34973Y-4494D01* -X34998Y-4494D01* -X35009Y-4494D01* -X35016Y-4494D01* -X35022Y-4495D01* -X35026Y-4496D01* -X35031Y-4498D01* -X35036Y-4500D01* -X35043Y-4503D01* -X35049Y-4506D01* -X35053Y-4508D01* -X35057Y-4510D01* -X35061Y-4514D01* -X35061Y-4515D01* -X35065Y-4518D01* -X35068Y-4520D01* -X35068Y-4521D01* -X35071Y-4522D01* -X35075Y-4525D01* -X35079Y-4528D01* -X35085Y-4535D01* -X35088Y-4542D01* -X35088Y-4544D01* -X35091Y-4552D01* -X35095Y-4559D01* -X35098Y-4562D01* -X35100Y-4565D01* -X35101Y-4569D01* -X35102Y-4574D01* -X35102Y-4581D01* -X35103Y-4591D01* -X35103Y-4592D01* -X35104Y-4602D01* -X35105Y-4613D01* -X35106Y-4621D01* -X35107Y-4627D01* -X35108Y-4635D01* -X35108Y-4642D01* -X35107Y-4649D01* -X35106Y-4656D01* -X35105Y-4666D01* -X35104Y-4676D01* -X35103Y-4686D01* -X35102Y-4696D01* -X35102Y-4704D01* -X35101Y-4709D01* -X35100Y-4711D01* -X35098Y-4714D01* -X35096Y-4717D01* -X35090Y-4726D01* -X35088Y-4733D01* -X35087Y-4739D01* -X35084Y-4744D01* -X35080Y-4747D01* -X35075Y-4751D01* -X35071Y-4755D01* -X35070Y-4755D01* -X35067Y-4758D01* -X35062Y-4763D01* -X35055Y-4767D01* -X35053Y-4769D01* -X35039Y-4778D01* -X34999Y-4778D01* -X34959Y-4778D01* -X34953Y-4772D01* -X34947Y-4768D01* -X34941Y-4764D01* -X34940Y-4764D01* -X34935Y-4761D01* -X34931Y-4757D01* -X34928Y-4753D01* -X34925Y-4751D01* -X34922Y-4748D01* -X34916Y-4742D01* -X34909Y-4732D01* -X34906Y-4727D01* -X34901Y-4722D01* -X34895Y-4720D01* -X34888Y-4723D01* -X34883Y-4727D01* -X34877Y-4731D01* -X34871Y-4734D01* -X34869Y-4735D01* -X34863Y-4737D01* -X34857Y-4741D01* -X34856Y-4742D01* -X34850Y-4746D01* -X34843Y-4749D01* -X34841Y-4750D01* -X34832Y-4753D01* -X34827Y-4758D01* -X34826Y-4764D01* -X34828Y-4770D01* -X34832Y-4775D01* -X34836Y-4780D01* -X34839Y-4783D01* -X34839Y-4784D01* -X34840Y-4787D01* -X34843Y-4792D01* -X34846Y-4796D01* -X34851Y-4801D01* -X34854Y-4805D01* -X34855Y-4807D01* -X34858Y-4811D01* -X34862Y-4813D01* -X34866Y-4815D01* -X34872Y-4819D01* -X34875Y-4822D01* -X34881Y-4827D01* -X34889Y-4833D01* -X34893Y-4836D01* -X34900Y-4841D01* -X34905Y-4844D01* -X34909Y-4844D01* -X34916Y-4846D01* -X34922Y-4850D01* -X34926Y-4853D01* -X34930Y-4855D01* -X34935Y-4857D01* -X34942Y-4858D01* -X34950Y-4859D01* -X34961Y-4861D01* -X34973Y-4863D01* -X34983Y-4866D01* -X34987Y-4867D01* -X35002Y-4870D01* -X35017Y-4872D01* -X35033Y-4870D01* -X35040Y-4869D01* -X35048Y-4867D01* -X35056Y-4865D01* -X35060Y-4863D01* -X35066Y-4861D01* -X35074Y-4860D01* -X35083Y-4858D01* -X35085Y-4858D01* -X35094Y-4857D01* -X35100Y-4856D01* -X35105Y-4854D01* -X35108Y-4851D01* -X35110Y-4850D01* -X35116Y-4846D01* -X35122Y-4843D01* -X35124Y-4843D01* -X35130Y-4841D01* -X35137Y-4837D01* -X35139Y-4835D01* -X35143Y-4831D01* -X35147Y-4829D01* -X35148Y-4829D01* -X35150Y-4827D01* -X35154Y-4824D01* -X35159Y-4818D01* -X35166Y-4812D01* -X35174Y-4804D01* -X35181Y-4797D01* -X35188Y-4790D01* -X35190Y-4787D01* -X35194Y-4782D01* -X35197Y-4778D01* -X35197Y-4776D01* -X35198Y-4772D01* -X35201Y-4767D01* -X35204Y-4764D01* -X35210Y-4755D01* -X35212Y-4746D01* -X35214Y-4739D01* -X35217Y-4732D01* -X35218Y-4730D01* -X35222Y-4722D01* -X35225Y-4711D01* -X35225Y-4709D01* -X35226Y-4703D01* -X35226Y-4693D01* -X35227Y-4680D01* -X35227Y-4666D01* -X35227Y-4651D01* -X35227Y-4635D01* -X35227Y-4619D01* -X35227Y-4605D01* -X35227Y-4592D01* -X35226Y-4582D01* -X35226Y-4581D01* -X35225Y-4571D01* -X35224Y-4564D01* -X35223Y-4559D01* -X35221Y-4554D01* -X35219Y-4552D01* -X35215Y-4544D01* -X35212Y-4536D01* -X35211Y-4533D01* -X35208Y-4522D01* -X35203Y-4515D01* -X35199Y-4511D01* -X35197Y-4507D01* -X35197Y-4506D01* -X35196Y-4503D01* -X35193Y-4498D01* -X35189Y-4494D01* -X35185Y-4489D01* -X35182Y-4485D01* -X35181Y-4484D01* -X35181Y-4482D01* -X35178Y-4478D01* -X35172Y-4473D01* -X35165Y-4467D01* -X35154Y-4457D01* -X35146Y-4450D01* -X35140Y-4445D01* -X35136Y-4443D01* -X35133Y-4442D01* -X35130Y-4441D01* -X35126Y-4437D01* -X35124Y-4436D01* -X35115Y-4431D01* -X35106Y-4428D01* -X35098Y-4425D01* -X35090Y-4422D01* -X35087Y-4420D01* -X35083Y-4418D01* -X35079Y-4416D01* -X35074Y-4415D01* -X35067Y-4414D01* -X35057Y-4413D01* -X35056Y-4413D01* -X35045Y-4413D01* -X35032Y-4412D01* -X35018Y-4412D01* -X35004Y-4413D01* -X34990Y-4413D01* -X34977Y-4414D01* -X34965Y-4414D01* -X34955Y-4415D01* -X34947Y-4416D01* -X34943Y-4417D01* -X34942Y-4417D01* -X34937Y-4421D01* -X34934Y-4423D01* -X34930Y-4425D01* -X34923Y-4427D01* -X34916Y-4428D01* -X34908Y-4430D01* -X34903Y-4431D01* -X34899Y-4434D01* -X34899Y-4435D01* -X34894Y-4439D01* -X34888Y-4442D01* -X34883Y-4445D01* -X34879Y-4449D01* -X34876Y-4453D01* -X34870Y-4457D01* -X34869Y-4457D01* -X34864Y-4461D01* -X34857Y-4467D01* -X34850Y-4474D01* -X34844Y-4481D01* -X34840Y-4488D01* -X34839Y-4489D01* -X34836Y-4494D01* -X34831Y-4498D01* -X34831Y-4499D01* -X34827Y-4502D01* -X34825Y-4505D01* -X34825Y-4506D01* -X34825Y-4506D01* -X34825Y-4506D01* -G37* -G36* -X34382Y-4763D02* -X34384Y-4769D01* -X34387Y-4775D01* -X34391Y-4781D01* -X34393Y-4784D01* -X34397Y-4787D01* -X34398Y-4790D01* -X34398Y-4790D01* -X34399Y-4793D01* -X34403Y-4797D01* -X34407Y-4803D01* -X34412Y-4808D01* -X34417Y-4812D01* -X34420Y-4814D01* -X34421Y-4815D01* -X34424Y-4816D01* -X34427Y-4820D01* -X34428Y-4821D01* -X34432Y-4825D01* -X34437Y-4828D01* -X34437Y-4828D01* -X34442Y-4831D01* -X34447Y-4836D01* -X34448Y-4836D01* -X34455Y-4841D01* -X34462Y-4843D01* -X34469Y-4845D01* -X34475Y-4848D01* -X34476Y-4849D01* -X34482Y-4853D01* -X34487Y-4856D01* -X34493Y-4857D01* -X34501Y-4859D01* -X34505Y-4859D01* -X34515Y-4860D01* -X34526Y-4863D01* -X34536Y-4865D01* -X34539Y-4866D01* -X34555Y-4870D01* -X34569Y-4872D01* -X34584Y-4871D01* -X34595Y-4869D01* -X34603Y-4867D01* -X34611Y-4865D01* -X34615Y-4863D01* -X34621Y-4861D01* -X34629Y-4860D01* -X34636Y-4859D01* -X34645Y-4858D01* -X34652Y-4857D01* -X34656Y-4856D01* -X34660Y-4854D01* -X34663Y-4851D01* -X34669Y-4848D01* -X34676Y-4844D01* -X34679Y-4843D01* -X34686Y-4841D01* -X34691Y-4837D01* -X34693Y-4836D01* -X34698Y-4831D01* -X34704Y-4828D01* -X34704Y-4828D01* -X34709Y-4825D01* -X34713Y-4822D01* -X34713Y-4821D01* -X34716Y-4818D01* -X34721Y-4815D01* -X34726Y-4811D01* -X34729Y-4807D01* -X34732Y-4802D01* -X34736Y-4799D01* -X34740Y-4795D01* -X34743Y-4790D01* -X34743Y-4790D01* -X34745Y-4785D01* -X34749Y-4781D01* -X34753Y-4776D01* -X34757Y-4768D01* -X34757Y-4767D01* -X34760Y-4760D01* -X34762Y-4755D01* -X34764Y-4753D01* -X34768Y-4748D01* -X34770Y-4741D01* -X34772Y-4731D01* -X34773Y-4725D01* -X34775Y-4714D01* -X34779Y-4707D01* -X34785Y-4699D01* -X34785Y-4642D01* -X34786Y-4586D01* -X34780Y-4575D01* -X34774Y-4560D01* -X34772Y-4548D01* -X34771Y-4540D01* -X34769Y-4534D01* -X34767Y-4530D01* -X34764Y-4528D01* -X34759Y-4519D01* -X34757Y-4513D01* -X34755Y-4506D01* -X34750Y-4501D01* -X34750Y-4500D01* -X34746Y-4497D01* -X34744Y-4493D01* -X34743Y-4493D01* -X34742Y-4490D01* -X34738Y-4485D01* -X34732Y-4479D01* -X34724Y-4471D01* -X34716Y-4463D01* -X34708Y-4455D01* -X34701Y-4449D01* -X34696Y-4445D01* -X34692Y-4442D01* -X34690Y-4442D01* -X34686Y-4441D01* -X34681Y-4437D01* -X34679Y-4436D01* -X34671Y-4431D01* -X34662Y-4428D01* -X34662Y-4428D01* -X34654Y-4425D01* -X34647Y-4422D01* -X34645Y-4421D01* -X34640Y-4418D01* -X34635Y-4416D01* -X34627Y-4415D01* -X34617Y-4414D01* -X34605Y-4413D01* -X34590Y-4413D01* -X34571Y-4413D01* -X34554Y-4413D01* -X34538Y-4413D01* -X34525Y-4414D01* -X34516Y-4414D01* -X34509Y-4415D01* -X34504Y-4415D01* -X34500Y-4416D01* -X34498Y-4417D01* -X34497Y-4418D01* -X34487Y-4424D01* -X34478Y-4427D01* -X34471Y-4428D01* -X34464Y-4429D01* -X34458Y-4432D01* -X34457Y-4434D01* -X34451Y-4438D01* -X34444Y-4443D01* -X34442Y-4443D01* -X34436Y-4447D01* -X34432Y-4450D01* -X34432Y-4451D01* -X34428Y-4455D01* -X34423Y-4457D01* -X34423Y-4457D01* -X34417Y-4460D01* -X34414Y-4465D01* -X34411Y-4469D01* -X34407Y-4472D01* -X34403Y-4475D01* -X34400Y-4480D01* -X34397Y-4485D01* -X34393Y-4491D01* -X34391Y-4493D01* -X34387Y-4499D01* -X34385Y-4504D01* -X34384Y-4505D01* -X34386Y-4511D01* -X34391Y-4516D01* -X34399Y-4520D01* -X34403Y-4522D01* -X34410Y-4524D01* -X34416Y-4528D01* -X34418Y-4529D01* -X34423Y-4533D01* -X34430Y-4536D01* -X34434Y-4537D01* -X34441Y-4540D01* -X34447Y-4543D01* -X34449Y-4545D01* -X34455Y-4549D01* -X34462Y-4551D01* -X34469Y-4550D01* -X34474Y-4545D01* -X34477Y-4541D01* -X34480Y-4534D01* -X34486Y-4527D01* -X34494Y-4519D01* -X34501Y-4513D01* -X34509Y-4508D01* -X34512Y-4507D01* -X34519Y-4504D01* -X34524Y-4501D01* -X34526Y-4500D01* -X34530Y-4496D01* -X34536Y-4494D01* -X34544Y-4493D01* -X34554Y-4493D01* -X34562Y-4493D01* -X34572Y-4494D01* -X34579Y-4495D01* -X34586Y-4498D01* -X34592Y-4500D01* -X34599Y-4504D01* -X34605Y-4506D01* -X34609Y-4507D01* -X34614Y-4510D01* -X34618Y-4514D01* -X34618Y-4514D01* -X34623Y-4519D01* -X34628Y-4522D01* -X34632Y-4525D01* -X34634Y-4527D01* -X34634Y-4527D01* -X34636Y-4529D01* -X34639Y-4533D01* -X34641Y-4535D01* -X34647Y-4544D01* -X34649Y-4551D01* -X34652Y-4561D01* -X34656Y-4566D01* -X34658Y-4569D01* -X34660Y-4572D01* -X34661Y-4575D01* -X34662Y-4580D01* -X34663Y-4587D01* -X34663Y-4595D01* -X34664Y-4606D01* -X34664Y-4620D01* -X34664Y-4638D01* -X34663Y-4648D01* -X34663Y-4664D01* -X34663Y-4677D01* -X34663Y-4687D01* -X34662Y-4694D01* -X34662Y-4699D01* -X34661Y-4703D01* -X34660Y-4705D01* -X34659Y-4707D01* -X34659Y-4708D01* -X34654Y-4715D01* -X34651Y-4720D01* -X34649Y-4724D01* -X34648Y-4728D01* -X34647Y-4730D01* -X34646Y-4734D01* -X34643Y-4739D01* -X34638Y-4745D01* -X34633Y-4750D01* -X34626Y-4756D01* -X34620Y-4762D01* -X34614Y-4765D01* -X34613Y-4766D01* -X34608Y-4770D01* -X34603Y-4773D01* -X34603Y-4773D01* -X34599Y-4776D01* -X34594Y-4777D01* -X34586Y-4778D01* -X34576Y-4779D01* -X34562Y-4779D01* -X34547Y-4779D01* -X34516Y-4778D01* -X34510Y-4772D01* -X34505Y-4768D01* -X34500Y-4765D01* -X34498Y-4765D01* -X34494Y-4762D01* -X34488Y-4757D01* -X34481Y-4751D01* -X34475Y-4744D01* -X34469Y-4737D01* -X34465Y-4731D01* -X34465Y-4729D01* -X34463Y-4724D01* -X34460Y-4722D01* -X34456Y-4721D01* -X34455Y-4721D01* -X34449Y-4721D01* -X34445Y-4723D01* -X34442Y-4726D01* -X34434Y-4732D01* -X34426Y-4735D01* -X34417Y-4738D01* -X34412Y-4742D01* -X34411Y-4743D01* -X34406Y-4747D01* -X34398Y-4750D01* -X34391Y-4752D01* -X34385Y-4757D01* -X34382Y-4762D01* -X34382Y-4763D01* -X34382Y-4763D01* -X34382Y-4763D01* -G37* -G36* -X32569Y-4380D02* -X32569Y-4401D01* -X32569Y-4420D01* -X32569Y-4438D01* -X32569Y-4454D01* -X32569Y-4468D01* -X32569Y-4479D01* -X32570Y-4487D01* -X32570Y-4491D01* -X32570Y-4493D01* -X32572Y-4494D01* -X32576Y-4495D01* -X32582Y-4495D01* -X32592Y-4495D01* -X32596Y-4495D01* -X32608Y-4495D01* -X32617Y-4496D01* -X32623Y-4497D01* -X32627Y-4500D01* -X32630Y-4503D01* -X32632Y-4509D01* -X32632Y-4510D01* -X32633Y-4513D01* -X32634Y-4516D01* -X32634Y-4519D01* -X32635Y-4524D01* -X32635Y-4530D01* -X32635Y-4538D01* -X32635Y-4549D01* -X32635Y-4561D01* -X32635Y-4576D01* -X32635Y-4594D01* -X32635Y-4616D01* -X32635Y-4641D01* -X32635Y-4644D01* -X32634Y-4767D01* -X32640Y-4769D01* -X32644Y-4770D01* -X32651Y-4770D01* -X32661Y-4771D01* -X32675Y-4771D01* -X32691Y-4771D01* -X32709Y-4771D01* -X32719Y-4771D01* -X32738Y-4771D01* -X32754Y-4771D01* -X32766Y-4771D01* -X32776Y-4771D01* -X32783Y-4771D01* -X32788Y-4770D01* -X32791Y-4770D01* -X32793Y-4769D01* -X32794Y-4769D01* -X32794Y-4768D01* -X32794Y-4766D01* -X32794Y-4760D01* -X32794Y-4750D01* -X32794Y-4738D01* -X32795Y-4723D01* -X32795Y-4707D01* -X32795Y-4688D01* -X32795Y-4668D01* -X32795Y-4646D01* -X32795Y-4639D01* -X32795Y-4612D01* -X32795Y-4589D01* -X32795Y-4569D01* -X32795Y-4553D01* -X32795Y-4539D01* -X32796Y-4528D01* -X32796Y-4519D01* -X32797Y-4512D01* -X32797Y-4507D01* -X32798Y-4503D01* -X32799Y-4501D01* -X32800Y-4499D01* -X32802Y-4498D01* -X32803Y-4497D01* -X32806Y-4496D01* -X32813Y-4495D01* -X32821Y-4495D01* -X32829Y-4495D01* -X32840Y-4495D01* -X32847Y-4494D01* -X32852Y-4493D01* -X32855Y-4492D01* -X32855Y-4492D01* -X32860Y-4489D01* -X32860Y-4387D01* -X32860Y-4364D01* -X32860Y-4344D01* -X32860Y-4327D01* -X32859Y-4314D01* -X32859Y-4302D01* -X32859Y-4293D01* -X32859Y-4286D01* -X32858Y-4280D01* -X32857Y-4275D01* -X32857Y-4271D01* -X32857Y-4271D01* -X32855Y-4262D01* -X32853Y-4256D01* -X32851Y-4253D01* -X32848Y-4251D01* -X32843Y-4250D01* -X32837Y-4248D01* -X32832Y-4246D01* -X32830Y-4245D01* -X32827Y-4244D01* -X32823Y-4244D01* -X32817Y-4244D01* -X32809Y-4243D01* -X32799Y-4243D01* -X32786Y-4243D01* -X32771Y-4243D01* -X32752Y-4243D01* -X32730Y-4243D01* -X32714Y-4243D01* -X32602Y-4243D01* -X32588Y-4250D01* -X32580Y-4254D01* -X32575Y-4258D01* -X32572Y-4261D01* -X32571Y-4264D01* -X32571Y-4266D01* -X32570Y-4269D01* -X32570Y-4274D01* -X32569Y-4280D01* -X32569Y-4288D01* -X32569Y-4299D01* -X32569Y-4312D01* -X32569Y-4328D01* -X32569Y-4348D01* -X32569Y-4371D01* -X32569Y-4380D01* -X32569Y-4380D01* -X32569Y-4380D01* -G37* -G36* -X32643Y-4115D02* -X32644Y-4123D01* -X32644Y-4126D01* -X32644Y-4136D01* -X32645Y-4142D01* -X32646Y-4146D01* -X32647Y-4149D01* -X32649Y-4151D01* -X32652Y-4156D01* -X32656Y-4162D01* -X32656Y-4162D01* -X32659Y-4167D01* -X32663Y-4173D01* -X32669Y-4179D01* -X32674Y-4183D01* -X32679Y-4186D01* -X32680Y-4186D01* -X32684Y-4187D01* -X32689Y-4189D01* -X32692Y-4191D01* -X32703Y-4196D01* -X32714Y-4197D01* -X32724Y-4196D01* -X32733Y-4193D01* -X32739Y-4190D01* -X32747Y-4186D01* -X32751Y-4185D01* -X32759Y-4182D01* -X32763Y-4178D01* -X32764Y-4176D01* -X32768Y-4171D01* -X32772Y-4166D01* -X32776Y-4161D01* -X32779Y-4156D01* -X32781Y-4147D01* -X32782Y-4135D01* -X32782Y-4123D01* -X32782Y-4110D01* -X32781Y-4106D01* -X32780Y-4091D01* -X32765Y-4076D01* -X32758Y-4070D01* -X32753Y-4065D01* -X32749Y-4063D01* -X32745Y-4061D01* -X32742Y-4061D01* -X32734Y-4059D01* -X32726Y-4057D01* -X32725Y-4057D01* -X32721Y-4055D01* -X32717Y-4055D01* -X32712Y-4056D01* -X32705Y-4057D01* -X32702Y-4058D01* -X32694Y-4060D01* -X32687Y-4061D01* -X32682Y-4062D01* -X32682Y-4062D01* -X32678Y-4063D01* -X32673Y-4067D01* -X32667Y-4072D01* -X32662Y-4077D01* -X32658Y-4082D01* -X32656Y-4085D01* -X32654Y-4090D01* -X32651Y-4096D01* -X32649Y-4098D01* -X32646Y-4102D01* -X32645Y-4105D01* -X32644Y-4109D01* -X32643Y-4115D01* -X32643Y-4115D01* -X32643Y-4115D01* -G37* -G36* -X31034Y-4417D02* -X31034Y-4434D01* -X31036Y-4441D01* -X31039Y-4452D01* -X31041Y-4465D01* -X31043Y-4480D01* -X31045Y-4497D01* -X31046Y-4507D01* -X31047Y-4514D01* -X31048Y-4520D01* -X31050Y-4524D01* -X31052Y-4527D01* -X31056Y-4533D01* -X31058Y-4541D01* -X31059Y-4545D01* -X31062Y-4554D01* -X31067Y-4562D01* -X31071Y-4569D01* -X31074Y-4576D01* -X31075Y-4578D01* -X31077Y-4585D01* -X31081Y-4591D01* -X31082Y-4592D01* -X31086Y-4597D01* -X31089Y-4602D01* -X31089Y-4603D01* -X31092Y-4607D01* -X31096Y-4610D01* -X31100Y-4615D01* -X31103Y-4619D01* -X31105Y-4622D01* -X31108Y-4625D01* -X31113Y-4630D01* -X31119Y-4637D01* -X31128Y-4645D01* -X31131Y-4647D01* -X31137Y-4653D01* -X31143Y-4659D01* -X31146Y-4662D01* -X31151Y-4666D01* -X31156Y-4669D01* -X31157Y-4669D01* -X31162Y-4671D01* -X31167Y-4675D01* -X31169Y-4676D01* -X31175Y-4680D01* -X31181Y-4683D01* -X31182Y-4684D01* -X31189Y-4687D01* -X31194Y-4691D01* -X31195Y-4691D01* -X31199Y-4694D01* -X31205Y-4696D01* -X31213Y-4698D01* -X31214Y-4698D01* -X31227Y-4701D01* -X31237Y-4705D01* -X31237Y-4705D01* -X31241Y-4708D01* -X31245Y-4709D01* -X31250Y-4710D01* -X31257Y-4711D01* -X31267Y-4711D01* -X31269Y-4711D01* -X31287Y-4712D01* -X31302Y-4713D01* -X31314Y-4713D01* -X31324Y-4713D01* -X31332Y-4713D01* -X31339Y-4713D01* -X31343Y-4712D01* -X31353Y-4712D01* -X31363Y-4711D01* -X31373Y-4711D01* -X31382Y-4710D01* -X31389Y-4709D01* -X31396Y-4708D01* -X31401Y-4706D01* -X31409Y-4702D01* -X31418Y-4699D01* -X31424Y-4698D01* -X31435Y-4694D01* -X31442Y-4690D01* -X31447Y-4686D01* -X31451Y-4684D01* -X31453Y-4684D01* -X31457Y-4683D01* -X31461Y-4679D01* -X31463Y-4678D01* -X31468Y-4673D01* -X31474Y-4669D01* -X31475Y-4669D01* -X31479Y-4666D01* -X31486Y-4660D01* -X31493Y-4653D01* -X31501Y-4646D01* -X31508Y-4638D01* -X31514Y-4631D01* -X31518Y-4625D01* -X31520Y-4622D01* -X31523Y-4617D01* -X31528Y-4612D01* -X31528Y-4612D01* -X31533Y-4607D01* -X31534Y-4601D01* -X31537Y-4593D01* -X31542Y-4586D01* -X31542Y-4586D01* -X31546Y-4580D01* -X31548Y-4573D01* -X31549Y-4567D01* -X31552Y-4557D01* -X31555Y-4549D01* -X31556Y-4548D01* -X31559Y-4541D01* -X31562Y-4533D01* -X31563Y-4526D01* -X31563Y-4519D01* -X31563Y-4518D01* -X31562Y-4517D01* -X31561Y-4516D01* -X31559Y-4515D01* -X31556Y-4515D01* -X31551Y-4515D01* -X31543Y-4514D01* -X31533Y-4514D01* -X31520Y-4514D01* -X31504Y-4514D01* -X31503Y-4514D01* -X31488Y-4514D01* -X31474Y-4514D01* -X31462Y-4514D01* -X31453Y-4514D01* -X31446Y-4515D01* -X31443Y-4515D01* -X31442Y-4515D01* -X31440Y-4516D01* -X31438Y-4521D01* -X31434Y-4527D01* -X31431Y-4534D01* -X31429Y-4542D01* -X31427Y-4548D01* -X31427Y-4549D01* -X31423Y-4559D01* -X31419Y-4565D01* -X31415Y-4570D01* -X31410Y-4577D01* -X31410Y-4577D01* -X31406Y-4582D01* -X31400Y-4587D01* -X31398Y-4588D01* -X31392Y-4592D01* -X31385Y-4596D01* -X31384Y-4597D01* -X31376Y-4602D01* -X31369Y-4604D01* -X31362Y-4606D01* -X31353Y-4608D01* -X31346Y-4611D01* -X31339Y-4613D01* -X31331Y-4615D01* -X31322Y-4615D01* -X31314Y-4616D01* -X31305Y-4616D01* -X31299Y-4615D01* -X31295Y-4615D01* -X31292Y-4613D01* -X31289Y-4611D01* -X31284Y-4608D01* -X31277Y-4606D01* -X31268Y-4605D01* -X31266Y-4605D01* -X31257Y-4603D01* -X31252Y-4602D01* -X31248Y-4601D01* -X31245Y-4598D01* -X31242Y-4595D01* -X31237Y-4591D01* -X31234Y-4588D01* -X31232Y-4587D01* -X31230Y-4586D01* -X31227Y-4582D01* -X31227Y-4582D01* -X31223Y-4577D01* -X31218Y-4574D01* -X31214Y-4572D01* -X31212Y-4569D01* -X31212Y-4569D01* -X31211Y-4567D01* -X31208Y-4563D01* -X31206Y-4561D01* -X31200Y-4554D01* -X31197Y-4547D01* -X31194Y-4540D01* -X31191Y-4534D01* -X31190Y-4533D01* -X31187Y-4528D01* -X31184Y-4521D01* -X31182Y-4515D01* -X31180Y-4507D01* -X31177Y-4501D01* -X31175Y-4498D01* -X31173Y-4495D01* -X31172Y-4492D01* -X31171Y-4488D01* -X31170Y-4482D01* -X31169Y-4472D01* -X31169Y-4467D01* -X31168Y-4457D01* -X31168Y-4445D01* -X31168Y-4430D01* -X31168Y-4414D01* -X31168Y-4399D01* -X31168Y-4390D01* -X31169Y-4339D01* -X31175Y-4329D01* -X31179Y-4321D01* -X31182Y-4313D01* -X31183Y-4309D01* -X31185Y-4302D01* -X31188Y-4296D01* -X31190Y-4294D01* -X31194Y-4289D01* -X31197Y-4283D01* -X31200Y-4277D01* -X31205Y-4271D01* -X31210Y-4265D01* -X31216Y-4260D01* -X31220Y-4258D01* -X31224Y-4255D01* -X31227Y-4251D01* -X31231Y-4246D01* -X31235Y-4244D01* -X31239Y-4242D01* -X31244Y-4238D01* -X31245Y-4237D01* -X31248Y-4234D01* -X31250Y-4233D01* -X31254Y-4231D01* -X31258Y-4230D01* -X31265Y-4230D01* -X31275Y-4229D01* -X31280Y-4229D01* -X31293Y-4228D01* -X31307Y-4228D01* -X31322Y-4228D01* -X31333Y-4228D01* -X31344Y-4229D01* -X31351Y-4229D01* -X31356Y-4230D01* -X31360Y-4231D01* -X31362Y-4233D01* -X31365Y-4235D01* -X31372Y-4240D01* -X31381Y-4243D01* -X31381Y-4243D01* -X31388Y-4245D01* -X31392Y-4248D01* -X31395Y-4251D01* -X31398Y-4256D01* -X31403Y-4260D01* -X31407Y-4262D01* -X31409Y-4265D01* -X31409Y-4265D01* -X31411Y-4267D01* -X31414Y-4271D01* -X31417Y-4274D01* -X31422Y-4280D01* -X31425Y-4286D01* -X31426Y-4293D01* -X31428Y-4303D01* -X31433Y-4312D01* -X31438Y-4321D01* -X31439Y-4329D01* -X31436Y-4334D01* -X31431Y-4338D01* -X31422Y-4339D01* -X31422Y-4339D01* -X31415Y-4340D01* -X31412Y-4342D01* -X31412Y-4346D01* -X31415Y-4349D01* -X31418Y-4351D01* -X31423Y-4356D01* -X31430Y-4362D01* -X31438Y-4371D01* -X31448Y-4380D01* -X31458Y-4391D01* -X31461Y-4393D01* -X31471Y-4403D01* -X31481Y-4413D01* -X31489Y-4421D01* -X31496Y-4427D01* -X31501Y-4432D01* -X31503Y-4434D01* -X31503Y-4434D01* -X31506Y-4433D01* -X31509Y-4429D01* -X31510Y-4428D01* -X31513Y-4424D01* -X31518Y-4419D01* -X31525Y-4412D01* -X31533Y-4403D01* -X31542Y-4394D01* -X31552Y-4385D01* -X31561Y-4375D01* -X31570Y-4367D01* -X31578Y-4359D01* -X31584Y-4353D01* -X31589Y-4349D01* -X31589Y-4349D01* -X31593Y-4345D01* -X31593Y-4342D01* -X31590Y-4340D01* -X31582Y-4339D01* -X31581Y-4339D01* -X31573Y-4339D01* -X31568Y-4337D01* -X31565Y-4334D01* -X31563Y-4327D01* -X31563Y-4326D01* -X31561Y-4319D01* -X31557Y-4311D01* -X31557Y-4310D01* -X31554Y-4304D01* -X31551Y-4295D01* -X31550Y-4285D01* -X31548Y-4276D01* -X31546Y-4270D01* -X31544Y-4266D01* -X31542Y-4262D01* -X31538Y-4256D01* -X31535Y-4248D01* -X31532Y-4239D01* -X31528Y-4233D01* -X31523Y-4228D01* -X31520Y-4221D01* -X31519Y-4221D01* -X31517Y-4215D01* -X31514Y-4211D01* -X31513Y-4211D01* -X31510Y-4208D01* -X31506Y-4203D01* -X31506Y-4201D01* -X31502Y-4196D01* -X31499Y-4193D01* -X31498Y-4193D01* -X31494Y-4190D01* -X31491Y-4186D01* -X31491Y-4186D01* -X31488Y-4182D01* -X31486Y-4180D01* -X31486Y-4180D01* -X31483Y-4179D01* -X31479Y-4175D01* -X31476Y-4172D01* -X31471Y-4168D01* -X31467Y-4165D01* -X31464Y-4164D01* -X31461Y-4163D01* -X31456Y-4160D01* -X31455Y-4159D01* -X31448Y-4153D01* -X31441Y-4149D01* -X31435Y-4148D01* -X31429Y-4146D01* -X31423Y-4142D01* -X31422Y-4141D01* -X31418Y-4138D01* -X31413Y-4136D01* -X31407Y-4135D01* -X31401Y-4134D01* -X31392Y-4133D01* -X31386Y-4131D01* -X31380Y-4128D01* -X31379Y-4127D01* -X31371Y-4122D01* -X31327Y-4121D01* -X31308Y-4121D01* -X31293Y-4121D01* -X31281Y-4122D01* -X31271Y-4123D01* -X31263Y-4125D01* -X31257Y-4127D01* -X31252Y-4129D01* -X31246Y-4132D01* -X31237Y-4134D01* -X31229Y-4135D01* -X31219Y-4136D01* -X31213Y-4138D01* -X31208Y-4140D01* -X31205Y-4142D01* -X31199Y-4146D01* -X31191Y-4149D01* -X31189Y-4150D01* -X31180Y-4153D01* -X31175Y-4157D01* -X31175Y-4157D01* -X31170Y-4161D01* -X31162Y-4164D01* -X31162Y-4165D01* -X31156Y-4167D01* -X31151Y-4170D01* -X31150Y-4171D01* -X31145Y-4175D01* -X31140Y-4179D01* -X31135Y-4182D01* -X31132Y-4187D01* -X31130Y-4190D01* -X31128Y-4192D01* -X31125Y-4193D01* -X31121Y-4197D01* -X31116Y-4202D01* -X31110Y-4207D01* -X31106Y-4212D01* -X31103Y-4216D01* -X31102Y-4217D01* -X31099Y-4222D01* -X31096Y-4225D01* -X31093Y-4228D01* -X31090Y-4234D01* -X31090Y-4234D01* -X31086Y-4240D01* -X31082Y-4245D01* -X31078Y-4251D01* -X31074Y-4258D01* -X31074Y-4261D01* -X31071Y-4268D01* -X31068Y-4274D01* -X31066Y-4276D01* -X31061Y-4284D01* -X31059Y-4292D01* -X31057Y-4299D01* -X31054Y-4308D01* -X31052Y-4311D01* -X31049Y-4316D01* -X31048Y-4321D01* -X31046Y-4328D01* -X31045Y-4338D01* -X31045Y-4341D01* -X31043Y-4361D01* -X31040Y-4378D01* -X31037Y-4394D01* -X31036Y-4399D01* -X31034Y-4417D01* -X31034Y-4417D01* -X31034Y-4417D01* -G37* -%LPC*% -G54D14* -X20493Y-28758D03* -G54D15* -X20493Y-29758D03* -X19493Y-28758D03* -X19493Y-29758D03* -X18493Y-28758D03* -X18493Y-29758D03* -G54D16* -X20358Y-2798D03* -X18627Y-2798D03* -G54D17* -X23493Y-37258D03* -X15493Y-37258D03* -X23493Y-2258D03* -X15493Y-2258D03* -G54D18* -X18493Y-37758D03* -G54D19* -X20493Y-37758D03* -G54D20* -X17993Y-14258D03* -X17993Y-15258D03* -X17993Y-16258D03* -X17993Y-17258D03* -X17993Y-18258D03* -X17993Y-19258D03* -X17993Y-20258D03* -X17993Y-21258D03* -X17993Y-22258D03* -X17993Y-23258D03* -X17993Y-24258D03* -X17993Y-25258D03* -X17993Y-26258D03* -G54D21* -X17993Y-13258D03* -G54D20* -X20993Y-26258D03* -X20993Y-25258D03* -X20993Y-24258D03* -X20993Y-23258D03* -X20993Y-22258D03* -X20993Y-21258D03* -X20993Y-20258D03* -X20993Y-19258D03* -X20993Y-18258D03* -X20993Y-17258D03* -X20993Y-16258D03* -X20993Y-15258D03* -X20993Y-14258D03* -X20993Y-13258D03* -G54D22* -X22493Y-19258D03* -G54D23* -X22493Y-20258D03* -G54D22* -X21993Y-6258D03* -G54D23* -X22993Y-6258D03* -G54D22* -X16493Y-24758D03* -G54D23* -X16493Y-25758D03* -X16493Y-26758D03* -G54D22* -X15493Y-24758D03* -G54D23* -X15493Y-25758D03* -X15493Y-26758D03* -G54D22* -X14493Y-24758D03* -G54D23* -X14493Y-25758D03* -X14493Y-26758D03* -G54D22* -X14493Y-14258D03* -G54D23* -X14493Y-15258D03* -X14493Y-16258D03* -X14493Y-17258D03* -X14493Y-18258D03* -G54D22* -X15493Y-14258D03* -G54D23* -X15493Y-15258D03* -X15493Y-16258D03* -X15493Y-17258D03* -X15493Y-18258D03* -G54D22* -X16493Y-14258D03* -G54D23* -X16493Y-15258D03* -X16493Y-16258D03* -X16493Y-17258D03* -X16493Y-18258D03* -G54D22* -X24493Y-18258D03* -G54D23* -X24493Y-17258D03* -X24493Y-16258D03* -X24493Y-15258D03* -X24493Y-14258D03* -X24493Y-13258D03* -G54D22* -X23493Y-18258D03* -G54D23* -X23493Y-17258D03* -X23493Y-16258D03* -X23493Y-15258D03* -X23493Y-14258D03* -X23493Y-13258D03* -G54D22* -X24493Y-27258D03* -G54D23* -X24493Y-26258D03* -X24493Y-25258D03* -X24493Y-24258D03* -X24493Y-23258D03* -X24493Y-22258D03* -G54D22* -X23493Y-27258D03* -G54D23* -X23493Y-26258D03* -X23493Y-25258D03* -X23493Y-24258D03* -X23493Y-23258D03* -X23493Y-22258D03* -G54D22* -X22493Y-18258D03* -G54D23* -X22493Y-17258D03* -X22493Y-16258D03* -X22493Y-15258D03* -X22493Y-14258D03* -X22493Y-13258D03* -G54D22* -X22493Y-27258D03* -G54D23* -X22493Y-26258D03* -X22493Y-25258D03* -X22493Y-24258D03* -X22493Y-23258D03* -X22493Y-22258D03* -X14493Y-27758D03* -X16493Y-27758D03* -X14493Y-30758D03* -X16493Y-30758D03* -G54D22* -X16993Y-6258D03* -G54D23* -X15993Y-6258D03* -G54D24* -X15993Y-20758D03* -X15993Y-22758D03* -G54D14* -X7502Y-28759D03* -G54D15* -X7502Y-29759D03* -X6502Y-28759D03* -X6502Y-29759D03* -X5502Y-28759D03* -X5502Y-29759D03* -G54D16* -X7367Y-2799D03* -X5636Y-2799D03* -G54D17* -X10502Y-37259D03* -X2502Y-37259D03* -X10502Y-2259D03* -X2502Y-2259D03* -G54D18* -X5502Y-37759D03* -G54D19* -X7502Y-37759D03* -G54D20* -X5002Y-14259D03* -X5002Y-15259D03* -X5002Y-16259D03* -X5002Y-17259D03* -X5002Y-18259D03* -X5002Y-19259D03* -X5002Y-20259D03* -X5002Y-21259D03* -X5002Y-22259D03* -X5002Y-23259D03* -X5002Y-24259D03* -X5002Y-25259D03* -X5002Y-26259D03* -G54D21* -X5002Y-13259D03* -G54D20* -X8002Y-26259D03* -X8002Y-25259D03* -X8002Y-24259D03* -X8002Y-23259D03* -X8002Y-22259D03* -X8002Y-21259D03* -X8002Y-20259D03* -X8002Y-19259D03* -X8002Y-18259D03* -X8002Y-17259D03* -X8002Y-16259D03* -X8002Y-15259D03* -X8002Y-14259D03* -X8002Y-13259D03* -G54D22* -X9502Y-19259D03* -G54D23* -X9502Y-20259D03* -G54D22* -X9002Y-6259D03* -G54D23* -X10002Y-6259D03* -G54D22* -X3502Y-24759D03* -G54D23* -X3502Y-25759D03* -X3502Y-26759D03* -G54D22* -X2502Y-24759D03* -G54D23* -X2502Y-25759D03* -X2502Y-26759D03* -G54D22* -X1502Y-24759D03* -G54D23* -X1502Y-25759D03* -X1502Y-26759D03* -G54D22* -X1502Y-14259D03* -G54D23* -X1502Y-15259D03* -X1502Y-16259D03* -X1502Y-17259D03* -X1502Y-18259D03* -G54D22* -X2502Y-14259D03* -G54D23* -X2502Y-15259D03* -X2502Y-16259D03* -X2502Y-17259D03* -X2502Y-18259D03* -G54D22* -X3502Y-14259D03* -G54D23* -X3502Y-15259D03* -X3502Y-16259D03* -X3502Y-17259D03* -X3502Y-18259D03* -G54D22* -X11502Y-18259D03* -G54D23* -X11502Y-17259D03* -X11502Y-16259D03* -X11502Y-15259D03* -X11502Y-14259D03* -X11502Y-13259D03* -G54D22* -X10502Y-18259D03* -G54D23* -X10502Y-17259D03* -X10502Y-16259D03* -X10502Y-15259D03* -X10502Y-14259D03* -X10502Y-13259D03* -G54D22* -X11502Y-27259D03* -G54D23* -X11502Y-26259D03* -X11502Y-25259D03* -X11502Y-24259D03* -X11502Y-23259D03* -X11502Y-22259D03* -G54D22* -X10502Y-27259D03* -G54D23* -X10502Y-26259D03* -X10502Y-25259D03* -X10502Y-24259D03* -X10502Y-23259D03* -X10502Y-22259D03* -G54D22* -X9502Y-18259D03* -G54D23* -X9502Y-17259D03* -X9502Y-16259D03* -X9502Y-15259D03* -X9502Y-14259D03* -X9502Y-13259D03* -G54D22* -X9502Y-27259D03* -G54D23* -X9502Y-26259D03* -X9502Y-25259D03* -X9502Y-24259D03* -X9502Y-23259D03* -X9502Y-22259D03* -X1502Y-27759D03* -X3502Y-27759D03* -X1502Y-30759D03* -X3502Y-30759D03* -G54D22* -X4002Y-6259D03* -G54D23* -X3002Y-6259D03* -G54D24* -X3002Y-20759D03* -X3002Y-22759D03* -X29379Y-20759D03* -X29379Y-22759D03* -G54D22* -X30379Y-6259D03* -G54D23* -X29379Y-6259D03* -X27879Y-27759D03* -X29879Y-27759D03* -X27879Y-30759D03* -X29879Y-30759D03* -G54D22* -X35879Y-27259D03* -G54D23* -X35879Y-26259D03* -X35879Y-25259D03* -X35879Y-24259D03* -X35879Y-23259D03* -X35879Y-22259D03* -G54D22* -X35879Y-18259D03* -G54D23* -X35879Y-17259D03* -X35879Y-16259D03* -X35879Y-15259D03* -X35879Y-14259D03* -X35879Y-13259D03* -G54D22* -X36879Y-27259D03* -G54D23* -X36879Y-26259D03* -X36879Y-25259D03* -X36879Y-24259D03* -X36879Y-23259D03* -X36879Y-22259D03* -G54D22* -X37879Y-27259D03* -G54D23* -X37879Y-26259D03* -X37879Y-25259D03* -X37879Y-24259D03* -X37879Y-23259D03* -X37879Y-22259D03* -G54D22* -X36879Y-18259D03* -G54D23* -X36879Y-17259D03* -X36879Y-16259D03* -X36879Y-15259D03* -X36879Y-14259D03* -X36879Y-13259D03* -G54D22* -X37879Y-18259D03* -G54D23* -X37879Y-17259D03* -X37879Y-16259D03* -X37879Y-15259D03* -X37879Y-14259D03* -X37879Y-13259D03* -G54D22* -X29879Y-14259D03* -G54D23* -X29879Y-15259D03* -X29879Y-16259D03* -X29879Y-17259D03* -X29879Y-18259D03* -G54D22* -X28879Y-14259D03* -G54D23* -X28879Y-15259D03* -X28879Y-16259D03* -X28879Y-17259D03* -X28879Y-18259D03* -G54D22* -X27879Y-14259D03* -G54D23* -X27879Y-15259D03* -X27879Y-16259D03* -X27879Y-17259D03* -X27879Y-18259D03* -G54D22* -X27879Y-24759D03* -G54D23* -X27879Y-25759D03* -X27879Y-26759D03* -G54D22* -X28879Y-24759D03* -G54D23* -X28879Y-25759D03* -X28879Y-26759D03* -G54D22* -X29879Y-24759D03* -G54D23* -X29879Y-25759D03* -X29879Y-26759D03* -G54D22* -X35379Y-6259D03* -G54D23* -X36379Y-6259D03* -G54D22* -X35879Y-19259D03* -G54D23* -X35879Y-20259D03* -G54D20* -X31379Y-14259D03* -X31379Y-15259D03* -X31379Y-16259D03* -X31379Y-17259D03* -X31379Y-18259D03* -X31379Y-19259D03* -X31379Y-20259D03* -X31379Y-21259D03* -X31379Y-22259D03* -X31379Y-23259D03* -X31379Y-24259D03* -X31379Y-25259D03* -X31379Y-26259D03* -G54D21* -X31379Y-13259D03* -G54D20* -X34379Y-26259D03* -X34379Y-25259D03* -X34379Y-24259D03* -X34379Y-23259D03* -X34379Y-22259D03* -X34379Y-21259D03* -X34379Y-20259D03* -X34379Y-19259D03* -X34379Y-18259D03* -X34379Y-17259D03* -X34379Y-16259D03* -X34379Y-15259D03* -X34379Y-14259D03* -X34379Y-13259D03* -G54D18* -X31879Y-37759D03* -G54D19* -X33879Y-37759D03* -G54D17* -X28879Y-2259D03* -X36879Y-2259D03* -X28879Y-37259D03* -X36879Y-37259D03* -G54D16* -X33744Y-2799D03* -X32013Y-2799D03* -G54D14* -X33879Y-28759D03* -G54D15* -X33879Y-29759D03* -X32879Y-28759D03* -X32879Y-29759D03* -X31879Y-28759D03* -X31879Y-29759D03* -M02* diff --git a/plot/panelized/kaboard_panelized-Back.gbl b/plot/panelized/kaboard_panelized-Back.gbl index 24f75c3..e8513a1 100644 --- a/plot/panelized/kaboard_panelized-Back.gbl +++ b/plot/panelized/kaboard_panelized-Back.gbl @@ -1,4 +1,4 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* +G04 (created by PCBNEW (2012-10-13 BZR 3765)-stable) date Tue 18 Dec 2012 05:56:43 PM CET* %MOIN*% G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* %FSLAX34Y34*% diff --git a/plot/panelized/kaboard_panelized-F_Mask.gts b/plot/panelized/kaboard_panelized-F_Mask.gts deleted file mode 100644 index 06575a8..0000000 --- a/plot/panelized/kaboard_panelized-F_Mask.gts +++ /dev/null @@ -1,803 +0,0 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G01* -G70* -G90* -G04 APERTURE LIST* -%ADD10C,0.006*% -%ADD11R,0.1224X0.083*% -%ADD12R,0.1381X0.083*% -%ADD13R,0.08X0.08*% -%ADD14C,0.08*% -%ADD15R,0.1065X0.1065*% -%ADD16R,0.0396X0.1065*% -%ADD17C,0.0554*% -%ADD18C,0.2562*% -%ADD19R,0.0535X0.0535*% -%ADD20R,0.12X0.12*% -%ADD21C,0.12*% -%ADD22R,0.045X0.065*% -%ADD23R,0.065X0.045*% -%ADD24O,0.11X0.082*% -%ADD25R,0.11X0.082*% -%ADD26R,0.075X0.075*% -%ADD27C,0.075*% -%ADD28R,0.055X0.075*% -%ADD29R,0.07X0.036*% -%ADD30R,0.0909X0.0712*% -%ADD31R,0.0909X0.1696*% -%ADD32C,0.076*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X23502Y-8108D03* -X22084Y-8108D03* -G54D12* -X19359Y-31758D03* -X17627Y-31758D03* -X19359Y-34258D03* -X17627Y-34258D03* -G54D13* -X20493Y-28758D03* -G54D14* -X20493Y-29758D03* -X19493Y-28758D03* -X19493Y-29758D03* -X18493Y-28758D03* -X18493Y-29758D03* -G54D15* -X17749Y-3683D03* -G54D16* -X20122Y-3793D03* -X19807Y-3793D03* -X19493Y-3793D03* -X19179Y-3793D03* -X18864Y-3793D03* -G54D17* -X20358Y-2798D03* -X18627Y-2798D03* -G54D15* -X21237Y-3683D03* -X21237Y-1518D03* -X17749Y-1518D03* -G54D18* -X23493Y-37258D03* -X15493Y-37258D03* -X23493Y-2258D03* -X15493Y-2258D03* -G54D19* -X21143Y-34267D03* -X21143Y-32949D03* -G54D20* -X18493Y-37758D03* -G54D21* -X20493Y-37758D03* -G54D22* -X16143Y-10858D03* -X16743Y-10858D03* -X14943Y-10858D03* -X15543Y-10858D03* -G54D23* -X21143Y-31758D03* -X21143Y-31158D03* -G54D24* -X17993Y-14258D03* -X17993Y-15258D03* -X17993Y-16258D03* -X17993Y-17258D03* -X17993Y-18258D03* -X17993Y-19258D03* -X17993Y-20258D03* -X17993Y-21258D03* -X17993Y-22258D03* -X17993Y-23258D03* -X17993Y-24258D03* -X17993Y-25258D03* -X17993Y-26258D03* -G54D25* -X17993Y-13258D03* -G54D24* -X20993Y-26258D03* -X20993Y-25258D03* -X20993Y-24258D03* -X20993Y-23258D03* -X20993Y-22258D03* -X20993Y-21258D03* -X20993Y-20258D03* -X20993Y-19258D03* -X20993Y-18258D03* -X20993Y-17258D03* -X20993Y-16258D03* -X20993Y-15258D03* -X20993Y-14258D03* -X20993Y-13258D03* -G54D26* -X22493Y-19258D03* -G54D27* -X22493Y-20258D03* -G54D26* -X21993Y-6258D03* -G54D27* -X22993Y-6258D03* -G54D26* -X16493Y-24758D03* -G54D27* -X16493Y-25758D03* -X16493Y-26758D03* -G54D26* -X15493Y-24758D03* -G54D27* -X15493Y-25758D03* -X15493Y-26758D03* -G54D26* -X14493Y-24758D03* -G54D27* -X14493Y-25758D03* -X14493Y-26758D03* -G54D26* -X14493Y-14258D03* -G54D27* -X14493Y-15258D03* -X14493Y-16258D03* -X14493Y-17258D03* -X14493Y-18258D03* -G54D26* -X15493Y-14258D03* -G54D27* -X15493Y-15258D03* -X15493Y-16258D03* -X15493Y-17258D03* -X15493Y-18258D03* -G54D26* -X16493Y-14258D03* -G54D27* -X16493Y-15258D03* -X16493Y-16258D03* -X16493Y-17258D03* -X16493Y-18258D03* -G54D26* -X24493Y-18258D03* -G54D27* -X24493Y-17258D03* -X24493Y-16258D03* -X24493Y-15258D03* -X24493Y-14258D03* -X24493Y-13258D03* -G54D26* -X23493Y-18258D03* -G54D27* -X23493Y-17258D03* -X23493Y-16258D03* -X23493Y-15258D03* -X23493Y-14258D03* -X23493Y-13258D03* -G54D26* -X24493Y-27258D03* -G54D27* -X24493Y-26258D03* -X24493Y-25258D03* -X24493Y-24258D03* -X24493Y-23258D03* -X24493Y-22258D03* -G54D26* -X23493Y-27258D03* -G54D27* -X23493Y-26258D03* -X23493Y-25258D03* -X23493Y-24258D03* -X23493Y-23258D03* -X23493Y-22258D03* -G54D26* -X22493Y-18258D03* -G54D27* -X22493Y-17258D03* -X22493Y-16258D03* -X22493Y-15258D03* -X22493Y-14258D03* -X22493Y-13258D03* -G54D26* -X22493Y-27258D03* -G54D27* -X22493Y-26258D03* -X22493Y-25258D03* -X22493Y-24258D03* -X22493Y-23258D03* -X22493Y-22258D03* -G54D22* -X14943Y-10108D03* -X15543Y-10108D03* -X17243Y-8058D03* -X16643Y-8058D03* -G54D23* -X22443Y-10158D03* -X22443Y-9558D03* -X21693Y-10158D03* -X21693Y-9558D03* -X14493Y-22158D03* -X14493Y-22758D03* -X14493Y-21358D03* -X14493Y-20758D03* -X23443Y-19258D03* -X23443Y-19858D03* -G54D22* -X23493Y-21258D03* -X24093Y-21258D03* -X14943Y-9358D03* -X15543Y-9358D03* -X16143Y-9358D03* -X16743Y-9358D03* -X17843Y-5258D03* -X17243Y-5258D03* -X18443Y-6258D03* -X17843Y-6258D03* -X17393Y-27758D03* -X17993Y-27758D03* -X15493Y-13258D03* -X16093Y-13258D03* -X16143Y-10108D03* -X16743Y-10108D03* -G54D28* -X20468Y-5058D03* -X21218Y-5058D03* -G54D29* -X20893Y-10908D03* -X20893Y-10658D03* -X20893Y-10398D03* -X20893Y-10138D03* -X20893Y-9888D03* -X20893Y-9628D03* -X20893Y-9368D03* -X20893Y-9118D03* -X20893Y-8858D03* -X20893Y-8608D03* -X20893Y-8348D03* -X20893Y-8088D03* -X20893Y-7838D03* -X20893Y-7578D03* -X18093Y-7578D03* -X18093Y-7838D03* -X18093Y-8078D03* -X18093Y-8348D03* -X18093Y-8608D03* -X18093Y-8858D03* -X18093Y-9118D03* -X18093Y-9368D03* -X18093Y-9628D03* -X18093Y-9888D03* -X18093Y-10138D03* -X18093Y-10398D03* -X18093Y-10658D03* -X18093Y-10908D03* -G54D27* -X14493Y-27758D03* -X16493Y-27758D03* -X14493Y-30758D03* -X16493Y-30758D03* -G54D26* -X16993Y-6258D03* -G54D27* -X15993Y-6258D03* -G54D30* -X22312Y-32452D03* -X22312Y-33358D03* -X22312Y-34264D03* -G54D31* -X24674Y-33358D03* -G54D32* -X15993Y-20758D03* -X15993Y-22758D03* -G54D11* -X10511Y-8109D03* -X9093Y-8109D03* -G54D12* -X6368Y-31759D03* -X4636Y-31759D03* -X6368Y-34259D03* -X4636Y-34259D03* -G54D13* -X7502Y-28759D03* -G54D14* -X7502Y-29759D03* -X6502Y-28759D03* -X6502Y-29759D03* -X5502Y-28759D03* -X5502Y-29759D03* -G54D15* -X4758Y-3684D03* -G54D16* -X7131Y-3794D03* -X6816Y-3794D03* -X6502Y-3794D03* -X6188Y-3794D03* -X5873Y-3794D03* -G54D17* -X7367Y-2799D03* -X5636Y-2799D03* -G54D15* -X8246Y-3684D03* -X8246Y-1519D03* -X4758Y-1519D03* -G54D18* -X10502Y-37259D03* -X2502Y-37259D03* -X10502Y-2259D03* -X2502Y-2259D03* -G54D19* -X8152Y-34268D03* -X8152Y-32950D03* -G54D20* -X5502Y-37759D03* -G54D21* -X7502Y-37759D03* -G54D22* -X3152Y-10859D03* -X3752Y-10859D03* -X1952Y-10859D03* -X2552Y-10859D03* -G54D23* -X8152Y-31759D03* -X8152Y-31159D03* -G54D24* -X5002Y-14259D03* -X5002Y-15259D03* -X5002Y-16259D03* -X5002Y-17259D03* -X5002Y-18259D03* -X5002Y-19259D03* -X5002Y-20259D03* -X5002Y-21259D03* -X5002Y-22259D03* -X5002Y-23259D03* -X5002Y-24259D03* -X5002Y-25259D03* -X5002Y-26259D03* -G54D25* -X5002Y-13259D03* -G54D24* -X8002Y-26259D03* -X8002Y-25259D03* -X8002Y-24259D03* -X8002Y-23259D03* -X8002Y-22259D03* -X8002Y-21259D03* -X8002Y-20259D03* -X8002Y-19259D03* -X8002Y-18259D03* -X8002Y-17259D03* -X8002Y-16259D03* -X8002Y-15259D03* -X8002Y-14259D03* -X8002Y-13259D03* -G54D26* -X9502Y-19259D03* -G54D27* -X9502Y-20259D03* -G54D26* -X9002Y-6259D03* -G54D27* -X10002Y-6259D03* -G54D26* -X3502Y-24759D03* -G54D27* -X3502Y-25759D03* -X3502Y-26759D03* -G54D26* -X2502Y-24759D03* -G54D27* -X2502Y-25759D03* -X2502Y-26759D03* -G54D26* -X1502Y-24759D03* -G54D27* -X1502Y-25759D03* -X1502Y-26759D03* -G54D26* -X1502Y-14259D03* -G54D27* -X1502Y-15259D03* -X1502Y-16259D03* -X1502Y-17259D03* -X1502Y-18259D03* -G54D26* -X2502Y-14259D03* -G54D27* -X2502Y-15259D03* -X2502Y-16259D03* -X2502Y-17259D03* -X2502Y-18259D03* -G54D26* -X3502Y-14259D03* -G54D27* -X3502Y-15259D03* -X3502Y-16259D03* -X3502Y-17259D03* -X3502Y-18259D03* -G54D26* -X11502Y-18259D03* -G54D27* -X11502Y-17259D03* -X11502Y-16259D03* -X11502Y-15259D03* -X11502Y-14259D03* -X11502Y-13259D03* -G54D26* -X10502Y-18259D03* -G54D27* -X10502Y-17259D03* -X10502Y-16259D03* -X10502Y-15259D03* -X10502Y-14259D03* -X10502Y-13259D03* -G54D26* -X11502Y-27259D03* -G54D27* -X11502Y-26259D03* -X11502Y-25259D03* -X11502Y-24259D03* -X11502Y-23259D03* -X11502Y-22259D03* -G54D26* -X10502Y-27259D03* -G54D27* -X10502Y-26259D03* -X10502Y-25259D03* -X10502Y-24259D03* -X10502Y-23259D03* -X10502Y-22259D03* -G54D26* -X9502Y-18259D03* -G54D27* -X9502Y-17259D03* -X9502Y-16259D03* -X9502Y-15259D03* -X9502Y-14259D03* -X9502Y-13259D03* -G54D26* -X9502Y-27259D03* -G54D27* -X9502Y-26259D03* -X9502Y-25259D03* -X9502Y-24259D03* -X9502Y-23259D03* -X9502Y-22259D03* -G54D22* -X1952Y-10109D03* -X2552Y-10109D03* -X4252Y-8059D03* -X3652Y-8059D03* -G54D23* -X9452Y-10159D03* -X9452Y-9559D03* -X8702Y-10159D03* -X8702Y-9559D03* -X1502Y-22159D03* -X1502Y-22759D03* -X1502Y-21359D03* -X1502Y-20759D03* -X10452Y-19259D03* -X10452Y-19859D03* -G54D22* -X10502Y-21259D03* -X11102Y-21259D03* -X1952Y-9359D03* -X2552Y-9359D03* -X3152Y-9359D03* -X3752Y-9359D03* -X4852Y-5259D03* -X4252Y-5259D03* -X5452Y-6259D03* -X4852Y-6259D03* -X4402Y-27759D03* -X5002Y-27759D03* -X2502Y-13259D03* -X3102Y-13259D03* -X3152Y-10109D03* -X3752Y-10109D03* -G54D28* -X7477Y-5059D03* -X8227Y-5059D03* -G54D29* -X7902Y-10909D03* -X7902Y-10659D03* -X7902Y-10399D03* -X7902Y-10139D03* -X7902Y-9889D03* -X7902Y-9629D03* -X7902Y-9369D03* -X7902Y-9119D03* -X7902Y-8859D03* -X7902Y-8609D03* -X7902Y-8349D03* -X7902Y-8089D03* -X7902Y-7839D03* -X7902Y-7579D03* -X5102Y-7579D03* -X5102Y-7839D03* -X5102Y-8079D03* -X5102Y-8349D03* -X5102Y-8609D03* -X5102Y-8859D03* -X5102Y-9119D03* -X5102Y-9369D03* -X5102Y-9629D03* -X5102Y-9889D03* -X5102Y-10139D03* -X5102Y-10399D03* -X5102Y-10659D03* -X5102Y-10909D03* -G54D27* -X1502Y-27759D03* -X3502Y-27759D03* -X1502Y-30759D03* -X3502Y-30759D03* -G54D26* -X4002Y-6259D03* -G54D27* -X3002Y-6259D03* -G54D30* -X9321Y-32453D03* -X9321Y-33359D03* -X9321Y-34265D03* -G54D31* -X11683Y-33359D03* -G54D32* -X3002Y-20759D03* -X3002Y-22759D03* -X29379Y-20759D03* -X29379Y-22759D03* -G54D30* -X35698Y-32453D03* -X35698Y-33359D03* -X35698Y-34265D03* -G54D31* -X38060Y-33359D03* -G54D26* -X30379Y-6259D03* -G54D27* -X29379Y-6259D03* -X27879Y-27759D03* -X29879Y-27759D03* -X27879Y-30759D03* -X29879Y-30759D03* -G54D29* -X34279Y-10909D03* -X34279Y-10659D03* -X34279Y-10399D03* -X34279Y-10139D03* -X34279Y-9889D03* -X34279Y-9629D03* -X34279Y-9369D03* -X34279Y-9119D03* -X34279Y-8859D03* -X34279Y-8609D03* -X34279Y-8349D03* -X34279Y-8089D03* -X34279Y-7839D03* -X34279Y-7579D03* -X31479Y-7579D03* -X31479Y-7839D03* -X31479Y-8079D03* -X31479Y-8349D03* -X31479Y-8609D03* -X31479Y-8859D03* -X31479Y-9119D03* -X31479Y-9369D03* -X31479Y-9629D03* -X31479Y-9889D03* -X31479Y-10139D03* -X31479Y-10399D03* -X31479Y-10659D03* -X31479Y-10909D03* -G54D28* -X33854Y-5059D03* -X34604Y-5059D03* -G54D22* -X29529Y-10109D03* -X30129Y-10109D03* -X28879Y-13259D03* -X29479Y-13259D03* -X30779Y-27759D03* -X31379Y-27759D03* -X31829Y-6259D03* -X31229Y-6259D03* -X31229Y-5259D03* -X30629Y-5259D03* -X29529Y-9359D03* -X30129Y-9359D03* -X28329Y-9359D03* -X28929Y-9359D03* -X36879Y-21259D03* -X37479Y-21259D03* -G54D23* -X36829Y-19259D03* -X36829Y-19859D03* -X27879Y-21359D03* -X27879Y-20759D03* -X27879Y-22159D03* -X27879Y-22759D03* -X35079Y-10159D03* -X35079Y-9559D03* -X35829Y-10159D03* -X35829Y-9559D03* -G54D22* -X30629Y-8059D03* -X30029Y-8059D03* -X28329Y-10109D03* -X28929Y-10109D03* -G54D26* -X35879Y-27259D03* -G54D27* -X35879Y-26259D03* -X35879Y-25259D03* -X35879Y-24259D03* -X35879Y-23259D03* -X35879Y-22259D03* -G54D26* -X35879Y-18259D03* -G54D27* -X35879Y-17259D03* -X35879Y-16259D03* -X35879Y-15259D03* -X35879Y-14259D03* -X35879Y-13259D03* -G54D26* -X36879Y-27259D03* -G54D27* -X36879Y-26259D03* -X36879Y-25259D03* -X36879Y-24259D03* -X36879Y-23259D03* -X36879Y-22259D03* -G54D26* -X37879Y-27259D03* -G54D27* -X37879Y-26259D03* -X37879Y-25259D03* -X37879Y-24259D03* -X37879Y-23259D03* -X37879Y-22259D03* -G54D26* -X36879Y-18259D03* -G54D27* -X36879Y-17259D03* -X36879Y-16259D03* -X36879Y-15259D03* -X36879Y-14259D03* -X36879Y-13259D03* -G54D26* -X37879Y-18259D03* -G54D27* -X37879Y-17259D03* -X37879Y-16259D03* -X37879Y-15259D03* -X37879Y-14259D03* -X37879Y-13259D03* -G54D26* -X29879Y-14259D03* -G54D27* -X29879Y-15259D03* -X29879Y-16259D03* -X29879Y-17259D03* -X29879Y-18259D03* -G54D26* -X28879Y-14259D03* -G54D27* -X28879Y-15259D03* -X28879Y-16259D03* -X28879Y-17259D03* -X28879Y-18259D03* -G54D26* -X27879Y-14259D03* -G54D27* -X27879Y-15259D03* -X27879Y-16259D03* -X27879Y-17259D03* -X27879Y-18259D03* -G54D26* -X27879Y-24759D03* -G54D27* -X27879Y-25759D03* -X27879Y-26759D03* -G54D26* -X28879Y-24759D03* -G54D27* -X28879Y-25759D03* -X28879Y-26759D03* -G54D26* -X29879Y-24759D03* -G54D27* -X29879Y-25759D03* -X29879Y-26759D03* -G54D26* -X35379Y-6259D03* -G54D27* -X36379Y-6259D03* -G54D26* -X35879Y-19259D03* -G54D27* -X35879Y-20259D03* -G54D24* -X31379Y-14259D03* -X31379Y-15259D03* -X31379Y-16259D03* -X31379Y-17259D03* -X31379Y-18259D03* -X31379Y-19259D03* -X31379Y-20259D03* -X31379Y-21259D03* -X31379Y-22259D03* -X31379Y-23259D03* -X31379Y-24259D03* -X31379Y-25259D03* -X31379Y-26259D03* -G54D25* -X31379Y-13259D03* -G54D24* -X34379Y-26259D03* -X34379Y-25259D03* -X34379Y-24259D03* -X34379Y-23259D03* -X34379Y-22259D03* -X34379Y-21259D03* -X34379Y-20259D03* -X34379Y-19259D03* -X34379Y-18259D03* -X34379Y-17259D03* -X34379Y-16259D03* -X34379Y-15259D03* -X34379Y-14259D03* -X34379Y-13259D03* -G54D23* -X34529Y-31759D03* -X34529Y-31159D03* -G54D22* -X28329Y-10859D03* -X28929Y-10859D03* -X29529Y-10859D03* -X30129Y-10859D03* -G54D20* -X31879Y-37759D03* -G54D21* -X33879Y-37759D03* -G54D19* -X34529Y-34268D03* -X34529Y-32950D03* -G54D18* -X28879Y-2259D03* -X36879Y-2259D03* -X28879Y-37259D03* -X36879Y-37259D03* -G54D15* -X31135Y-3684D03* -G54D16* -X33508Y-3794D03* -X33193Y-3794D03* -X32879Y-3794D03* -X32565Y-3794D03* -X32250Y-3794D03* -G54D17* -X33744Y-2799D03* -X32013Y-2799D03* -G54D15* -X34623Y-3684D03* -X34623Y-1519D03* -X31135Y-1519D03* -G54D13* -X33879Y-28759D03* -G54D14* -X33879Y-29759D03* -X32879Y-28759D03* -X32879Y-29759D03* -X31879Y-28759D03* -X31879Y-29759D03* -G54D12* -X32745Y-34259D03* -X31013Y-34259D03* -X32745Y-31759D03* -X31013Y-31759D03* -G54D11* -X36888Y-8109D03* -X35470Y-8109D03* -M02* diff --git a/plot/panelized/kaboard_panelized-F_SilkS.gto b/plot/panelized/kaboard_panelized-F_SilkS.gto deleted file mode 100644 index 7c80d56..0000000 --- a/plot/panelized/kaboard_panelized-F_SilkS.gto +++ /dev/null @@ -1,8278 +0,0 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G01* -G70* -G90* -G04 APERTURE LIST* -%ADD10C,0.006*% -%ADD11C,0.015*% -%ADD12C,0.0099*% -%ADD13C,0.012*% -%ADD14C,0.005*% -%ADD15C,0.008*% -%ADD16C,0.0047*% -%ADD17C,0.0077*% -%ADD18C,0.0078*% -%ADD19C,0.0039*% -%ADD20C,0.0045*% -%ADD21C,0.0113*% -%ADD22C,0.0049*% -%ADD23C,0.0079*% -%ADD24C,0.01*% -%ADD25R,0.1224X0.083*% -%ADD26R,0.1381X0.083*% -%ADD27R,0.08X0.08*% -%ADD28C,0.08*% -%ADD29R,0.1065X0.1065*% -%ADD30R,0.0396X0.1065*% -%ADD31C,0.0554*% -%ADD32C,0.2562*% -%ADD33R,0.0535X0.0535*% -%ADD34R,0.12X0.12*% -%ADD35C,0.12*% -%ADD36R,0.045X0.065*% -%ADD37R,0.065X0.045*% -%ADD38O,0.11X0.082*% -%ADD39R,0.11X0.082*% -%ADD40R,0.075X0.075*% -%ADD41C,0.075*% -%ADD42R,0.055X0.075*% -%ADD43R,0.07X0.036*% -%ADD44R,0.0909X0.0712*% -%ADD45R,0.0909X0.1696*% -%ADD46C,0.076*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X14524Y-34908D02* -X13724Y-34908D01* -X14524Y-34565D02* -X14067Y-34822D01* -X13724Y-34565D02* -X14181Y-34908D01* -X14524Y-34051D02* -X14105Y-34051D01* -X14029Y-34080D01* -X13990Y-34137D01* -X13990Y-34251D01* -X14029Y-34308D01* -X14486Y-34051D02* -X14524Y-34108D01* -X14524Y-34251D01* -X14486Y-34308D01* -X14410Y-34337D01* -X14333Y-34337D01* -X14257Y-34308D01* -X14219Y-34251D01* -X14219Y-34108D01* -X14181Y-34051D01* -X14524Y-33765D02* -X13724Y-33765D01* -X14029Y-33765D02* -X13990Y-33708D01* -X13990Y-33594D01* -X14029Y-33537D01* -X14067Y-33508D01* -X14143Y-33479D01* -X14371Y-33479D01* -X14448Y-33508D01* -X14486Y-33537D01* -X14524Y-33594D01* -X14524Y-33708D01* -X14486Y-33765D01* -X14524Y-33136D02* -X14486Y-33194D01* -X14448Y-33222D01* -X14371Y-33251D01* -X14143Y-33251D01* -X14067Y-33222D01* -X14029Y-33194D01* -X13990Y-33136D01* -X13990Y-33051D01* -X14029Y-32994D01* -X14067Y-32965D01* -X14143Y-32936D01* -X14371Y-32936D01* -X14448Y-32965D01* -X14486Y-32994D01* -X14524Y-33051D01* -X14524Y-33136D01* -X14524Y-32422D02* -X14105Y-32422D01* -X14029Y-32451D01* -X13990Y-32508D01* -X13990Y-32622D01* -X14029Y-32679D01* -X14486Y-32422D02* -X14524Y-32479D01* -X14524Y-32622D01* -X14486Y-32679D01* -X14410Y-32708D01* -X14333Y-32708D01* -X14257Y-32679D01* -X14219Y-32622D01* -X14219Y-32479D01* -X14181Y-32422D01* -X14524Y-32136D02* -X13990Y-32136D01* -X14143Y-32136D02* -X14067Y-32108D01* -X14029Y-32079D01* -X13990Y-32022D01* -X13990Y-31965D01* -X14524Y-31508D02* -X13724Y-31508D01* -X14486Y-31508D02* -X14524Y-31565D01* -X14524Y-31679D01* -X14486Y-31737D01* -X14448Y-31765D01* -X14371Y-31794D01* -X14143Y-31794D01* -X14067Y-31765D01* -X14029Y-31737D01* -X13990Y-31679D01* -X13990Y-31565D01* -X14029Y-31508D01* -G54D12* -X15691Y-34958D02* -X16282Y-34827D01* -X15691Y-34696D01* -X16254Y-34414D02* -X16282Y-34452D01* -X16282Y-34527D01* -X16254Y-34564D01* -X16197Y-34583D01* -X15972Y-34583D01* -X15916Y-34564D01* -X15888Y-34527D01* -X15888Y-34452D01* -X15916Y-34414D01* -X15972Y-34395D01* -X16028Y-34395D01* -X16085Y-34583D01* -X16282Y-34226D02* -X15888Y-34226D01* -X16000Y-34226D02* -X15944Y-34207D01* -X15916Y-34189D01* -X15888Y-34151D01* -X15888Y-34114D01* -X16254Y-34001D02* -X16282Y-33963D01* -X16282Y-33888D01* -X16254Y-33851D01* -X16197Y-33832D01* -X16169Y-33832D01* -X16113Y-33851D01* -X16085Y-33888D01* -X16085Y-33945D01* -X16057Y-33982D01* -X16000Y-34001D01* -X15972Y-34001D01* -X15916Y-33982D01* -X15888Y-33945D01* -X15888Y-33888D01* -X15916Y-33851D01* -X16282Y-33663D02* -X15888Y-33663D01* -X15691Y-33663D02* -X15719Y-33682D01* -X15747Y-33663D01* -X15719Y-33644D01* -X15691Y-33663D01* -X15747Y-33663D01* -X16282Y-33419D02* -X16254Y-33456D01* -X16225Y-33475D01* -X16169Y-33494D01* -X16000Y-33494D01* -X15944Y-33475D01* -X15916Y-33456D01* -X15888Y-33419D01* -X15888Y-33363D01* -X15916Y-33325D01* -X15944Y-33306D01* -X16000Y-33288D01* -X16169Y-33288D01* -X16225Y-33306D01* -X16254Y-33325D01* -X16282Y-33363D01* -X16282Y-33419D01* -X15888Y-33119D02* -X16282Y-33119D01* -X15944Y-33119D02* -X15916Y-33100D01* -X15888Y-33063D01* -X15888Y-33007D01* -X15916Y-32969D01* -X15972Y-32950D01* -X16282Y-32950D01* -X16282Y-32257D02* -X16282Y-32482D01* -X16282Y-32369D02* -X15691Y-32369D01* -X15775Y-32407D01* -X15831Y-32444D01* -X15860Y-32482D01* -X16225Y-32088D02* -X16254Y-32069D01* -X16282Y-32088D01* -X16254Y-32107D01* -X16225Y-32088D01* -X16282Y-32088D01* -X15691Y-31825D02* -X15691Y-31788D01* -X15719Y-31750D01* -X15747Y-31731D01* -X15803Y-31713D01* -X15916Y-31694D01* -X16057Y-31694D01* -X16169Y-31713D01* -X16225Y-31731D01* -X16254Y-31750D01* -X16282Y-31788D01* -X16282Y-31825D01* -X16254Y-31863D01* -X16225Y-31881D01* -X16169Y-31900D01* -X16057Y-31919D01* -X15916Y-31919D01* -X15803Y-31900D01* -X15747Y-31881D01* -X15719Y-31863D01* -X15691Y-31825D01* -X23254Y-31767D02* -X23282Y-31711D01* -X23282Y-31617D01* -X23254Y-31579D01* -X23225Y-31561D01* -X23169Y-31542D01* -X23113Y-31542D01* -X23057Y-31561D01* -X23028Y-31579D01* -X23000Y-31617D01* -X22972Y-31692D01* -X22944Y-31729D01* -X22916Y-31748D01* -X22860Y-31767D01* -X22803Y-31767D01* -X22747Y-31748D01* -X22719Y-31729D01* -X22691Y-31692D01* -X22691Y-31598D01* -X22719Y-31542D01* -X23254Y-31223D02* -X23282Y-31261D01* -X23282Y-31336D01* -X23254Y-31373D01* -X23197Y-31392D01* -X22972Y-31392D01* -X22916Y-31373D01* -X22888Y-31336D01* -X22888Y-31261D01* -X22916Y-31223D01* -X22972Y-31204D01* -X23028Y-31204D01* -X23085Y-31392D01* -X23282Y-31035D02* -X22691Y-31035D01* -X22916Y-31035D02* -X22888Y-30998D01* -X22888Y-30923D01* -X22916Y-30885D01* -X22944Y-30866D01* -X23000Y-30848D01* -X23169Y-30848D01* -X23225Y-30866D01* -X23254Y-30885D01* -X23282Y-30923D01* -X23282Y-30998D01* -X23254Y-31035D01* -X23282Y-30510D02* -X22972Y-30510D01* -X22916Y-30529D01* -X22888Y-30567D01* -X22888Y-30642D01* -X22916Y-30679D01* -X23254Y-30510D02* -X23282Y-30548D01* -X23282Y-30642D01* -X23254Y-30679D01* -X23197Y-30698D01* -X23141Y-30698D01* -X23085Y-30679D01* -X23057Y-30642D01* -X23057Y-30548D01* -X23028Y-30510D01* -X23254Y-30342D02* -X23282Y-30304D01* -X23282Y-30229D01* -X23254Y-30192D01* -X23197Y-30173D01* -X23169Y-30173D01* -X23113Y-30192D01* -X23085Y-30229D01* -X23085Y-30286D01* -X23057Y-30323D01* -X23000Y-30342D01* -X22972Y-30342D01* -X22916Y-30323D01* -X22888Y-30286D01* -X22888Y-30229D01* -X22916Y-30192D01* -X22888Y-30060D02* -X22888Y-29910D01* -X22691Y-30004D02* -X23197Y-30004D01* -X23254Y-29985D01* -X23282Y-29948D01* -X23282Y-29910D01* -X23282Y-29779D02* -X22888Y-29779D01* -X22691Y-29779D02* -X22719Y-29798D01* -X22747Y-29779D01* -X22719Y-29760D01* -X22691Y-29779D01* -X22747Y-29779D01* -X23282Y-29422D02* -X22972Y-29422D01* -X22916Y-29441D01* -X22888Y-29479D01* -X22888Y-29554D01* -X22916Y-29591D01* -X23254Y-29422D02* -X23282Y-29460D01* -X23282Y-29554D01* -X23254Y-29591D01* -X23197Y-29610D01* -X23141Y-29610D01* -X23085Y-29591D01* -X23057Y-29554D01* -X23057Y-29460D01* -X23028Y-29422D01* -X22888Y-29235D02* -X23282Y-29235D01* -X22944Y-29235D02* -X22916Y-29216D01* -X22888Y-29179D01* -X22888Y-29123D01* -X22916Y-29085D01* -X22972Y-29066D01* -X23282Y-29066D01* -X24180Y-31486D02* -X24208Y-31430D01* -X24208Y-31336D01* -X24180Y-31298D01* -X24151Y-31280D01* -X24095Y-31261D01* -X24039Y-31261D01* -X23983Y-31280D01* -X23954Y-31298D01* -X23926Y-31336D01* -X23898Y-31411D01* -X23870Y-31448D01* -X23842Y-31467D01* -X23786Y-31486D01* -X23729Y-31486D01* -X23673Y-31467D01* -X23645Y-31448D01* -X23617Y-31411D01* -X23617Y-31317D01* -X23645Y-31261D01* -X24180Y-30923D02* -X24208Y-30961D01* -X24208Y-31036D01* -X24180Y-31073D01* -X24151Y-31092D01* -X24095Y-31111D01* -X23926Y-31111D01* -X23870Y-31092D01* -X23842Y-31073D01* -X23814Y-31036D01* -X23814Y-30961D01* -X23842Y-30923D01* -X24208Y-30754D02* -X23617Y-30754D01* -X24208Y-30585D02* -X23898Y-30585D01* -X23842Y-30604D01* -X23814Y-30642D01* -X23814Y-30698D01* -X23842Y-30735D01* -X23870Y-30754D01* -X23814Y-30229D02* -X24208Y-30229D01* -X23814Y-30398D02* -X24123Y-30398D01* -X24180Y-30379D01* -X24208Y-30342D01* -X24208Y-30286D01* -X24180Y-30248D01* -X24151Y-30229D01* -X24208Y-30042D02* -X23814Y-30042D01* -X23870Y-30042D02* -X23842Y-30023D01* -X23814Y-29986D01* -X23814Y-29930D01* -X23842Y-29892D01* -X23898Y-29873D01* -X24208Y-29873D01* -X23898Y-29873D02* -X23842Y-29855D01* -X23814Y-29817D01* -X23814Y-29761D01* -X23842Y-29723D01* -X23898Y-29704D01* -X24208Y-29704D01* -X24208Y-29517D02* -X23617Y-29517D01* -X23842Y-29517D02* -X23814Y-29480D01* -X23814Y-29405D01* -X23842Y-29367D01* -X23870Y-29348D01* -X23926Y-29330D01* -X24095Y-29330D01* -X24151Y-29348D01* -X24180Y-29367D01* -X24208Y-29405D01* -X24208Y-29480D01* -X24180Y-29517D01* -G54D13* -X14415Y-4408D02* -X14444Y-4370D01* -X14501Y-4332D01* -X14644Y-4332D01* -X14701Y-4370D01* -X14730Y-4408D01* -X14758Y-4484D01* -X14758Y-4560D01* -X14730Y-4675D01* -X14387Y-5132D01* -X14758Y-5132D01* -X15129Y-4332D02* -X15186Y-4332D01* -X15243Y-4370D01* -X15272Y-4408D01* -X15301Y-4484D01* -X15329Y-4637D01* -X15329Y-4827D01* -X15301Y-4979D01* -X15272Y-5056D01* -X15243Y-5094D01* -X15186Y-5132D01* -X15129Y-5132D01* -X15072Y-5094D01* -X15043Y-5056D01* -X15015Y-4979D01* -X14986Y-4827D01* -X14986Y-4637D01* -X15015Y-4484D01* -X15043Y-4408D01* -X15072Y-4370D01* -X15129Y-4332D01* -X15900Y-5132D02* -X15557Y-5132D01* -X15729Y-5132D02* -X15729Y-4332D01* -X15672Y-4446D01* -X15614Y-4522D01* -X15557Y-4560D01* -X16128Y-4408D02* -X16157Y-4370D01* -X16214Y-4332D01* -X16357Y-4332D01* -X16414Y-4370D01* -X16443Y-4408D01* -X16471Y-4484D01* -X16471Y-4560D01* -X16443Y-4675D01* -X16100Y-5132D01* -X16471Y-5132D01* -G54D11* -X13493Y-258D02* -X25493Y-258D01* -X25493Y-258D02* -X25493Y-39258D01* -X25493Y-39258D02* -X13493Y-39258D01* -X13493Y-39258D02* -X13493Y-258D01* -X1926Y-34909D02* -X1126Y-34909D01* -X1926Y-34566D02* -X1469Y-34823D01* -X1126Y-34566D02* -X1583Y-34909D01* -X1926Y-34052D02* -X1507Y-34052D01* -X1431Y-34081D01* -X1392Y-34138D01* -X1392Y-34252D01* -X1431Y-34309D01* -X1888Y-34052D02* -X1926Y-34109D01* -X1926Y-34252D01* -X1888Y-34309D01* -X1812Y-34338D01* -X1735Y-34338D01* -X1659Y-34309D01* -X1621Y-34252D01* -X1621Y-34109D01* -X1583Y-34052D01* -X1926Y-33766D02* -X1126Y-33766D01* -X1431Y-33766D02* -X1392Y-33709D01* -X1392Y-33595D01* -X1431Y-33538D01* -X1469Y-33509D01* -X1545Y-33480D01* -X1773Y-33480D01* -X1850Y-33509D01* -X1888Y-33538D01* -X1926Y-33595D01* -X1926Y-33709D01* -X1888Y-33766D01* -X1926Y-33137D02* -X1888Y-33195D01* -X1850Y-33223D01* -X1773Y-33252D01* -X1545Y-33252D01* -X1469Y-33223D01* -X1431Y-33195D01* -X1392Y-33137D01* -X1392Y-33052D01* -X1431Y-32995D01* -X1469Y-32966D01* -X1545Y-32937D01* -X1773Y-32937D01* -X1850Y-32966D01* -X1888Y-32995D01* -X1926Y-33052D01* -X1926Y-33137D01* -X1926Y-32423D02* -X1507Y-32423D01* -X1431Y-32452D01* -X1392Y-32509D01* -X1392Y-32623D01* -X1431Y-32680D01* -X1888Y-32423D02* -X1926Y-32480D01* -X1926Y-32623D01* -X1888Y-32680D01* -X1812Y-32709D01* -X1735Y-32709D01* -X1659Y-32680D01* -X1621Y-32623D01* -X1621Y-32480D01* -X1583Y-32423D01* -X1926Y-32137D02* -X1392Y-32137D01* -X1545Y-32137D02* -X1469Y-32109D01* -X1431Y-32080D01* -X1392Y-32023D01* -X1392Y-31966D01* -X1926Y-31509D02* -X1126Y-31509D01* -X1888Y-31509D02* -X1926Y-31566D01* -X1926Y-31680D01* -X1888Y-31738D01* -X1850Y-31766D01* -X1773Y-31795D01* -X1545Y-31795D01* -X1469Y-31766D01* -X1431Y-31738D01* -X1392Y-31680D01* -X1392Y-31566D01* -X1431Y-31509D01* -G54D12* -X2700Y-34959D02* -X3291Y-34828D01* -X2700Y-34697D01* -X3263Y-34415D02* -X3291Y-34453D01* -X3291Y-34528D01* -X3263Y-34565D01* -X3206Y-34584D01* -X2981Y-34584D01* -X2925Y-34565D01* -X2897Y-34528D01* -X2897Y-34453D01* -X2925Y-34415D01* -X2981Y-34396D01* -X3037Y-34396D01* -X3094Y-34584D01* -X3291Y-34227D02* -X2897Y-34227D01* -X3009Y-34227D02* -X2953Y-34208D01* -X2925Y-34190D01* -X2897Y-34152D01* -X2897Y-34115D01* -X3263Y-34002D02* -X3291Y-33964D01* -X3291Y-33889D01* -X3263Y-33852D01* -X3206Y-33833D01* -X3178Y-33833D01* -X3122Y-33852D01* -X3094Y-33889D01* -X3094Y-33946D01* -X3066Y-33983D01* -X3009Y-34002D01* -X2981Y-34002D01* -X2925Y-33983D01* -X2897Y-33946D01* -X2897Y-33889D01* -X2925Y-33852D01* -X3291Y-33664D02* -X2897Y-33664D01* -X2700Y-33664D02* -X2728Y-33683D01* -X2756Y-33664D01* -X2728Y-33645D01* -X2700Y-33664D01* -X2756Y-33664D01* -X3291Y-33420D02* -X3263Y-33457D01* -X3234Y-33476D01* -X3178Y-33495D01* -X3009Y-33495D01* -X2953Y-33476D01* -X2925Y-33457D01* -X2897Y-33420D01* -X2897Y-33364D01* -X2925Y-33326D01* -X2953Y-33307D01* -X3009Y-33289D01* -X3178Y-33289D01* -X3234Y-33307D01* -X3263Y-33326D01* -X3291Y-33364D01* -X3291Y-33420D01* -X2897Y-33120D02* -X3291Y-33120D01* -X2953Y-33120D02* -X2925Y-33101D01* -X2897Y-33064D01* -X2897Y-33008D01* -X2925Y-32970D01* -X2981Y-32951D01* -X3291Y-32951D01* -X3291Y-32258D02* -X3291Y-32483D01* -X3291Y-32370D02* -X2700Y-32370D01* -X2784Y-32408D01* -X2840Y-32445D01* -X2869Y-32483D01* -X3234Y-32089D02* -X3263Y-32070D01* -X3291Y-32089D01* -X3263Y-32108D01* -X3234Y-32089D01* -X3291Y-32089D01* -X2700Y-31826D02* -X2700Y-31789D01* -X2728Y-31751D01* -X2756Y-31732D01* -X2812Y-31714D01* -X2925Y-31695D01* -X3066Y-31695D01* -X3178Y-31714D01* -X3234Y-31732D01* -X3263Y-31751D01* -X3291Y-31789D01* -X3291Y-31826D01* -X3263Y-31864D01* -X3234Y-31882D01* -X3178Y-31901D01* -X3066Y-31920D01* -X2925Y-31920D01* -X2812Y-31901D01* -X2756Y-31882D01* -X2728Y-31864D01* -X2700Y-31826D01* -X10263Y-31768D02* -X10291Y-31712D01* -X10291Y-31618D01* -X10263Y-31580D01* -X10234Y-31562D01* -X10178Y-31543D01* -X10122Y-31543D01* -X10066Y-31562D01* -X10037Y-31580D01* -X10009Y-31618D01* -X9981Y-31693D01* -X9953Y-31730D01* -X9925Y-31749D01* -X9869Y-31768D01* -X9812Y-31768D01* -X9756Y-31749D01* -X9728Y-31730D01* -X9700Y-31693D01* -X9700Y-31599D01* -X9728Y-31543D01* -X10263Y-31224D02* -X10291Y-31262D01* -X10291Y-31337D01* -X10263Y-31374D01* -X10206Y-31393D01* -X9981Y-31393D01* -X9925Y-31374D01* -X9897Y-31337D01* -X9897Y-31262D01* -X9925Y-31224D01* -X9981Y-31205D01* -X10037Y-31205D01* -X10094Y-31393D01* -X10291Y-31036D02* -X9700Y-31036D01* -X9925Y-31036D02* -X9897Y-30999D01* -X9897Y-30924D01* -X9925Y-30886D01* -X9953Y-30867D01* -X10009Y-30849D01* -X10178Y-30849D01* -X10234Y-30867D01* -X10263Y-30886D01* -X10291Y-30924D01* -X10291Y-30999D01* -X10263Y-31036D01* -X10291Y-30511D02* -X9981Y-30511D01* -X9925Y-30530D01* -X9897Y-30568D01* -X9897Y-30643D01* -X9925Y-30680D01* -X10263Y-30511D02* -X10291Y-30549D01* -X10291Y-30643D01* -X10263Y-30680D01* -X10206Y-30699D01* -X10150Y-30699D01* -X10094Y-30680D01* -X10066Y-30643D01* -X10066Y-30549D01* -X10037Y-30511D01* -X10263Y-30343D02* -X10291Y-30305D01* -X10291Y-30230D01* -X10263Y-30193D01* -X10206Y-30174D01* -X10178Y-30174D01* -X10122Y-30193D01* -X10094Y-30230D01* -X10094Y-30287D01* -X10066Y-30324D01* -X10009Y-30343D01* -X9981Y-30343D01* -X9925Y-30324D01* -X9897Y-30287D01* -X9897Y-30230D01* -X9925Y-30193D01* -X9897Y-30061D02* -X9897Y-29911D01* -X9700Y-30005D02* -X10206Y-30005D01* -X10263Y-29986D01* -X10291Y-29949D01* -X10291Y-29911D01* -X10291Y-29780D02* -X9897Y-29780D01* -X9700Y-29780D02* -X9728Y-29799D01* -X9756Y-29780D01* -X9728Y-29761D01* -X9700Y-29780D01* -X9756Y-29780D01* -X10291Y-29423D02* -X9981Y-29423D01* -X9925Y-29442D01* -X9897Y-29480D01* -X9897Y-29555D01* -X9925Y-29592D01* -X10263Y-29423D02* -X10291Y-29461D01* -X10291Y-29555D01* -X10263Y-29592D01* -X10206Y-29611D01* -X10150Y-29611D01* -X10094Y-29592D01* -X10066Y-29555D01* -X10066Y-29461D01* -X10037Y-29423D01* -X9897Y-29236D02* -X10291Y-29236D01* -X9953Y-29236D02* -X9925Y-29217D01* -X9897Y-29180D01* -X9897Y-29124D01* -X9925Y-29086D01* -X9981Y-29067D01* -X10291Y-29067D01* -X11189Y-31487D02* -X11217Y-31431D01* -X11217Y-31337D01* -X11189Y-31299D01* -X11160Y-31281D01* -X11104Y-31262D01* -X11048Y-31262D01* -X10992Y-31281D01* -X10963Y-31299D01* -X10935Y-31337D01* -X10907Y-31412D01* -X10879Y-31449D01* -X10851Y-31468D01* -X10795Y-31487D01* -X10738Y-31487D01* -X10682Y-31468D01* -X10654Y-31449D01* -X10626Y-31412D01* -X10626Y-31318D01* -X10654Y-31262D01* -X11189Y-30924D02* -X11217Y-30962D01* -X11217Y-31037D01* -X11189Y-31074D01* -X11160Y-31093D01* -X11104Y-31112D01* -X10935Y-31112D01* -X10879Y-31093D01* -X10851Y-31074D01* -X10823Y-31037D01* -X10823Y-30962D01* -X10851Y-30924D01* -X11217Y-30755D02* -X10626Y-30755D01* -X11217Y-30586D02* -X10907Y-30586D01* -X10851Y-30605D01* -X10823Y-30643D01* -X10823Y-30699D01* -X10851Y-30736D01* -X10879Y-30755D01* -X10823Y-30230D02* -X11217Y-30230D01* -X10823Y-30399D02* -X11132Y-30399D01* -X11189Y-30380D01* -X11217Y-30343D01* -X11217Y-30287D01* -X11189Y-30249D01* -X11160Y-30230D01* -X11217Y-30043D02* -X10823Y-30043D01* -X10879Y-30043D02* -X10851Y-30024D01* -X10823Y-29987D01* -X10823Y-29931D01* -X10851Y-29893D01* -X10907Y-29874D01* -X11217Y-29874D01* -X10907Y-29874D02* -X10851Y-29856D01* -X10823Y-29818D01* -X10823Y-29762D01* -X10851Y-29724D01* -X10907Y-29705D01* -X11217Y-29705D01* -X11217Y-29518D02* -X10626Y-29518D01* -X10851Y-29518D02* -X10823Y-29481D01* -X10823Y-29406D01* -X10851Y-29368D01* -X10879Y-29349D01* -X10935Y-29331D01* -X11104Y-29331D01* -X11160Y-29349D01* -X11189Y-29368D01* -X11217Y-29406D01* -X11217Y-29481D01* -X11189Y-29518D01* -G54D13* -X1424Y-4409D02* -X1453Y-4371D01* -X1510Y-4333D01* -X1653Y-4333D01* -X1710Y-4371D01* -X1739Y-4409D01* -X1767Y-4485D01* -X1767Y-4561D01* -X1739Y-4676D01* -X1396Y-5133D01* -X1767Y-5133D01* -X2138Y-4333D02* -X2195Y-4333D01* -X2252Y-4371D01* -X2281Y-4409D01* -X2310Y-4485D01* -X2338Y-4638D01* -X2338Y-4828D01* -X2310Y-4980D01* -X2281Y-5057D01* -X2252Y-5095D01* -X2195Y-5133D01* -X2138Y-5133D01* -X2081Y-5095D01* -X2052Y-5057D01* -X2024Y-4980D01* -X1995Y-4828D01* -X1995Y-4638D01* -X2024Y-4485D01* -X2052Y-4409D01* -X2081Y-4371D01* -X2138Y-4333D01* -X2909Y-5133D02* -X2566Y-5133D01* -X2738Y-5133D02* -X2738Y-4333D01* -X2681Y-4447D01* -X2623Y-4523D01* -X2566Y-4561D01* -X3137Y-4409D02* -X3166Y-4371D01* -X3223Y-4333D01* -X3366Y-4333D01* -X3423Y-4371D01* -X3452Y-4409D01* -X3480Y-4485D01* -X3480Y-4561D01* -X3452Y-4676D01* -X3109Y-5133D01* -X3480Y-5133D01* -G54D11* -X502Y-259D02* -X12502Y-259D01* -X12502Y-259D02* -X12502Y-39259D01* -X12502Y-39259D02* -X502Y-39259D01* -X502Y-39259D02* -X502Y-259D01* -X26879Y-39259D02* -X26879Y-259D01* -X38879Y-39259D02* -X26879Y-39259D01* -X38879Y-259D02* -X38879Y-39259D01* -X26879Y-259D02* -X38879Y-259D01* -G54D13* -X27801Y-4409D02* -X27830Y-4371D01* -X27887Y-4333D01* -X28030Y-4333D01* -X28087Y-4371D01* -X28116Y-4409D01* -X28144Y-4485D01* -X28144Y-4561D01* -X28116Y-4676D01* -X27773Y-5133D01* -X28144Y-5133D01* -X28515Y-4333D02* -X28572Y-4333D01* -X28629Y-4371D01* -X28658Y-4409D01* -X28687Y-4485D01* -X28715Y-4638D01* -X28715Y-4828D01* -X28687Y-4980D01* -X28658Y-5057D01* -X28629Y-5095D01* -X28572Y-5133D01* -X28515Y-5133D01* -X28458Y-5095D01* -X28429Y-5057D01* -X28401Y-4980D01* -X28372Y-4828D01* -X28372Y-4638D01* -X28401Y-4485D01* -X28429Y-4409D01* -X28458Y-4371D01* -X28515Y-4333D01* -X29286Y-5133D02* -X28943Y-5133D01* -X29115Y-5133D02* -X29115Y-4333D01* -X29058Y-4447D01* -X29000Y-4523D01* -X28943Y-4561D01* -X29514Y-4409D02* -X29543Y-4371D01* -X29600Y-4333D01* -X29743Y-4333D01* -X29800Y-4371D01* -X29829Y-4409D01* -X29857Y-4485D01* -X29857Y-4561D01* -X29829Y-4676D01* -X29486Y-5133D01* -X29857Y-5133D01* -G54D12* -X36640Y-31768D02* -X36668Y-31712D01* -X36668Y-31618D01* -X36640Y-31580D01* -X36611Y-31562D01* -X36555Y-31543D01* -X36499Y-31543D01* -X36443Y-31562D01* -X36414Y-31580D01* -X36386Y-31618D01* -X36358Y-31693D01* -X36330Y-31730D01* -X36302Y-31749D01* -X36246Y-31768D01* -X36189Y-31768D01* -X36133Y-31749D01* -X36105Y-31730D01* -X36077Y-31693D01* -X36077Y-31599D01* -X36105Y-31543D01* -X36640Y-31224D02* -X36668Y-31262D01* -X36668Y-31337D01* -X36640Y-31374D01* -X36583Y-31393D01* -X36358Y-31393D01* -X36302Y-31374D01* -X36274Y-31337D01* -X36274Y-31262D01* -X36302Y-31224D01* -X36358Y-31205D01* -X36414Y-31205D01* -X36471Y-31393D01* -X36668Y-31036D02* -X36077Y-31036D01* -X36302Y-31036D02* -X36274Y-30999D01* -X36274Y-30924D01* -X36302Y-30886D01* -X36330Y-30867D01* -X36386Y-30849D01* -X36555Y-30849D01* -X36611Y-30867D01* -X36640Y-30886D01* -X36668Y-30924D01* -X36668Y-30999D01* -X36640Y-31036D01* -X36668Y-30511D02* -X36358Y-30511D01* -X36302Y-30530D01* -X36274Y-30568D01* -X36274Y-30643D01* -X36302Y-30680D01* -X36640Y-30511D02* -X36668Y-30549D01* -X36668Y-30643D01* -X36640Y-30680D01* -X36583Y-30699D01* -X36527Y-30699D01* -X36471Y-30680D01* -X36443Y-30643D01* -X36443Y-30549D01* -X36414Y-30511D01* -X36640Y-30343D02* -X36668Y-30305D01* -X36668Y-30230D01* -X36640Y-30193D01* -X36583Y-30174D01* -X36555Y-30174D01* -X36499Y-30193D01* -X36471Y-30230D01* -X36471Y-30287D01* -X36443Y-30324D01* -X36386Y-30343D01* -X36358Y-30343D01* -X36302Y-30324D01* -X36274Y-30287D01* -X36274Y-30230D01* -X36302Y-30193D01* -X36274Y-30061D02* -X36274Y-29911D01* -X36077Y-30005D02* -X36583Y-30005D01* -X36640Y-29986D01* -X36668Y-29949D01* -X36668Y-29911D01* -X36668Y-29780D02* -X36274Y-29780D01* -X36077Y-29780D02* -X36105Y-29799D01* -X36133Y-29780D01* -X36105Y-29761D01* -X36077Y-29780D01* -X36133Y-29780D01* -X36668Y-29423D02* -X36358Y-29423D01* -X36302Y-29442D01* -X36274Y-29480D01* -X36274Y-29555D01* -X36302Y-29592D01* -X36640Y-29423D02* -X36668Y-29461D01* -X36668Y-29555D01* -X36640Y-29592D01* -X36583Y-29611D01* -X36527Y-29611D01* -X36471Y-29592D01* -X36443Y-29555D01* -X36443Y-29461D01* -X36414Y-29423D01* -X36274Y-29236D02* -X36668Y-29236D01* -X36330Y-29236D02* -X36302Y-29217D01* -X36274Y-29180D01* -X36274Y-29124D01* -X36302Y-29086D01* -X36358Y-29067D01* -X36668Y-29067D01* -X37566Y-31487D02* -X37594Y-31431D01* -X37594Y-31337D01* -X37566Y-31299D01* -X37537Y-31281D01* -X37481Y-31262D01* -X37425Y-31262D01* -X37369Y-31281D01* -X37340Y-31299D01* -X37312Y-31337D01* -X37284Y-31412D01* -X37256Y-31449D01* -X37228Y-31468D01* -X37172Y-31487D01* -X37115Y-31487D01* -X37059Y-31468D01* -X37031Y-31449D01* -X37003Y-31412D01* -X37003Y-31318D01* -X37031Y-31262D01* -X37566Y-30924D02* -X37594Y-30962D01* -X37594Y-31037D01* -X37566Y-31074D01* -X37537Y-31093D01* -X37481Y-31112D01* -X37312Y-31112D01* -X37256Y-31093D01* -X37228Y-31074D01* -X37200Y-31037D01* -X37200Y-30962D01* -X37228Y-30924D01* -X37594Y-30755D02* -X37003Y-30755D01* -X37594Y-30586D02* -X37284Y-30586D01* -X37228Y-30605D01* -X37200Y-30643D01* -X37200Y-30699D01* -X37228Y-30736D01* -X37256Y-30755D01* -X37200Y-30230D02* -X37594Y-30230D01* -X37200Y-30399D02* -X37509Y-30399D01* -X37566Y-30380D01* -X37594Y-30343D01* -X37594Y-30287D01* -X37566Y-30249D01* -X37537Y-30230D01* -X37594Y-30043D02* -X37200Y-30043D01* -X37256Y-30043D02* -X37228Y-30024D01* -X37200Y-29987D01* -X37200Y-29931D01* -X37228Y-29893D01* -X37284Y-29874D01* -X37594Y-29874D01* -X37284Y-29874D02* -X37228Y-29856D01* -X37200Y-29818D01* -X37200Y-29762D01* -X37228Y-29724D01* -X37284Y-29705D01* -X37594Y-29705D01* -X37594Y-29518D02* -X37003Y-29518D01* -X37228Y-29518D02* -X37200Y-29481D01* -X37200Y-29406D01* -X37228Y-29368D01* -X37256Y-29349D01* -X37312Y-29331D01* -X37481Y-29331D01* -X37537Y-29349D01* -X37566Y-29368D01* -X37594Y-29406D01* -X37594Y-29481D01* -X37566Y-29518D01* -X29077Y-34959D02* -X29668Y-34828D01* -X29077Y-34697D01* -X29640Y-34415D02* -X29668Y-34453D01* -X29668Y-34528D01* -X29640Y-34565D01* -X29583Y-34584D01* -X29358Y-34584D01* -X29302Y-34565D01* -X29274Y-34528D01* -X29274Y-34453D01* -X29302Y-34415D01* -X29358Y-34396D01* -X29414Y-34396D01* -X29471Y-34584D01* -X29668Y-34227D02* -X29274Y-34227D01* -X29386Y-34227D02* -X29330Y-34208D01* -X29302Y-34190D01* -X29274Y-34152D01* -X29274Y-34115D01* -X29640Y-34002D02* -X29668Y-33964D01* -X29668Y-33889D01* -X29640Y-33852D01* -X29583Y-33833D01* -X29555Y-33833D01* -X29499Y-33852D01* -X29471Y-33889D01* -X29471Y-33946D01* -X29443Y-33983D01* -X29386Y-34002D01* -X29358Y-34002D01* -X29302Y-33983D01* -X29274Y-33946D01* -X29274Y-33889D01* -X29302Y-33852D01* -X29668Y-33664D02* -X29274Y-33664D01* -X29077Y-33664D02* -X29105Y-33683D01* -X29133Y-33664D01* -X29105Y-33645D01* -X29077Y-33664D01* -X29133Y-33664D01* -X29668Y-33420D02* -X29640Y-33457D01* -X29611Y-33476D01* -X29555Y-33495D01* -X29386Y-33495D01* -X29330Y-33476D01* -X29302Y-33457D01* -X29274Y-33420D01* -X29274Y-33364D01* -X29302Y-33326D01* -X29330Y-33307D01* -X29386Y-33289D01* -X29555Y-33289D01* -X29611Y-33307D01* -X29640Y-33326D01* -X29668Y-33364D01* -X29668Y-33420D01* -X29274Y-33120D02* -X29668Y-33120D01* -X29330Y-33120D02* -X29302Y-33101D01* -X29274Y-33064D01* -X29274Y-33008D01* -X29302Y-32970D01* -X29358Y-32951D01* -X29668Y-32951D01* -X29668Y-32258D02* -X29668Y-32483D01* -X29668Y-32370D02* -X29077Y-32370D01* -X29161Y-32408D01* -X29217Y-32445D01* -X29246Y-32483D01* -X29611Y-32089D02* -X29640Y-32070D01* -X29668Y-32089D01* -X29640Y-32108D01* -X29611Y-32089D01* -X29668Y-32089D01* -X29077Y-31826D02* -X29077Y-31789D01* -X29105Y-31751D01* -X29133Y-31732D01* -X29189Y-31714D01* -X29302Y-31695D01* -X29443Y-31695D01* -X29555Y-31714D01* -X29611Y-31732D01* -X29640Y-31751D01* -X29668Y-31789D01* -X29668Y-31826D01* -X29640Y-31864D01* -X29611Y-31882D01* -X29555Y-31901D01* -X29443Y-31920D01* -X29302Y-31920D01* -X29189Y-31901D01* -X29133Y-31882D01* -X29105Y-31864D01* -X29077Y-31826D01* -G54D11* -X28303Y-34909D02* -X27503Y-34909D01* -X28303Y-34566D02* -X27846Y-34823D01* -X27503Y-34566D02* -X27960Y-34909D01* -X28303Y-34052D02* -X27884Y-34052D01* -X27808Y-34081D01* -X27769Y-34138D01* -X27769Y-34252D01* -X27808Y-34309D01* -X28265Y-34052D02* -X28303Y-34109D01* -X28303Y-34252D01* -X28265Y-34309D01* -X28189Y-34338D01* -X28112Y-34338D01* -X28036Y-34309D01* -X27998Y-34252D01* -X27998Y-34109D01* -X27960Y-34052D01* -X28303Y-33766D02* -X27503Y-33766D01* -X27808Y-33766D02* -X27769Y-33709D01* -X27769Y-33595D01* -X27808Y-33538D01* -X27846Y-33509D01* -X27922Y-33480D01* -X28150Y-33480D01* -X28227Y-33509D01* -X28265Y-33538D01* -X28303Y-33595D01* -X28303Y-33709D01* -X28265Y-33766D01* -X28303Y-33137D02* -X28265Y-33195D01* -X28227Y-33223D01* -X28150Y-33252D01* -X27922Y-33252D01* -X27846Y-33223D01* -X27808Y-33195D01* -X27769Y-33137D01* -X27769Y-33052D01* -X27808Y-32995D01* -X27846Y-32966D01* -X27922Y-32937D01* -X28150Y-32937D01* -X28227Y-32966D01* -X28265Y-32995D01* -X28303Y-33052D01* -X28303Y-33137D01* -X28303Y-32423D02* -X27884Y-32423D01* -X27808Y-32452D01* -X27769Y-32509D01* -X27769Y-32623D01* -X27808Y-32680D01* -X28265Y-32423D02* -X28303Y-32480D01* -X28303Y-32623D01* -X28265Y-32680D01* -X28189Y-32709D01* -X28112Y-32709D01* -X28036Y-32680D01* -X27998Y-32623D01* -X27998Y-32480D01* -X27960Y-32423D01* -X28303Y-32137D02* -X27769Y-32137D01* -X27922Y-32137D02* -X27846Y-32109D01* -X27808Y-32080D01* -X27769Y-32023D01* -X27769Y-31966D01* -X28303Y-31509D02* -X27503Y-31509D01* -X28265Y-31509D02* -X28303Y-31566D01* -X28303Y-31680D01* -X28265Y-31738D01* -X28227Y-31766D01* -X28150Y-31795D01* -X27922Y-31795D01* -X27846Y-31766D01* -X27808Y-31738D01* -X27769Y-31680D01* -X27769Y-31566D01* -X27808Y-31509D01* -G54D14* -X23443Y-8108D02* -X23143Y-8108D01* -X23293Y-7958D02* -X23293Y-8258D01* -X23393Y-9008D02* -X21893Y-9008D01* -X23693Y-7508D02* -X23693Y-8708D01* -X23393Y-9008D02* -X23693Y-8708D01* -X23393Y-7208D02* -X21893Y-7208D01* -X23393Y-7208D02* -X23693Y-7508D01* -X21993Y-8158D02* -X21993Y-8058D01* -X22043Y-7858D02* -X22043Y-8358D01* -X22093Y-8458D02* -X22093Y-7758D01* -X22143Y-8558D02* -X22143Y-7658D01* -X22193Y-7608D02* -X22193Y-8608D01* -X22243Y-8658D02* -X22243Y-7558D01* -X22293Y-7508D02* -X22293Y-8708D01* -X22343Y-7458D02* -X22343Y-8758D01* -X23593Y-8108D02* -G75* -G03X23593Y-8108I-800J0D01* -G74* -G01* -X21893Y-7208D02* -X21893Y-9008D01* -X17593Y-31508D02* -X17593Y-32058D01* -X17643Y-31408D02* -X17643Y-32108D01* -X17693Y-31258D02* -X17693Y-32258D01* -X17743Y-32308D02* -X17743Y-31208D01* -X17793Y-31158D02* -X17793Y-32358D01* -X17843Y-32408D02* -X17843Y-31108D01* -X17893Y-31058D02* -X17893Y-32458D01* -X19443Y-31758D02* -G75* -G03X19443Y-31758I-950J0D01* -G74* -G01* -X17443Y-30708D02* -X19243Y-30708D01* -X19243Y-30708D02* -X19543Y-31008D01* -X19543Y-31008D02* -X19543Y-32508D01* -X19543Y-32508D02* -X19243Y-32808D01* -X19243Y-32808D02* -X17443Y-32808D01* -X17443Y-32808D02* -X17443Y-30708D01* -X19343Y-31758D02* -X19043Y-31758D01* -X19193Y-31608D02* -X19193Y-31908D01* -X17593Y-34008D02* -X17593Y-34558D01* -X17643Y-33908D02* -X17643Y-34608D01* -X17693Y-33758D02* -X17693Y-34758D01* -X17743Y-34808D02* -X17743Y-33708D01* -X17793Y-33658D02* -X17793Y-34858D01* -X17843Y-34908D02* -X17843Y-33608D01* -X17893Y-33558D02* -X17893Y-34958D01* -X19443Y-34258D02* -G75* -G03X19443Y-34258I-950J0D01* -G74* -G01* -X17443Y-33208D02* -X19243Y-33208D01* -X19243Y-33208D02* -X19543Y-33508D01* -X19543Y-33508D02* -X19543Y-35008D01* -X19543Y-35008D02* -X19243Y-35308D01* -X19243Y-35308D02* -X17443Y-35308D01* -X17443Y-35308D02* -X17443Y-33208D01* -X19343Y-34258D02* -X19043Y-34258D01* -X19193Y-34108D02* -X19193Y-34408D01* -G54D15* -X17993Y-28258D02* -X20993Y-28258D01* -X20993Y-30258D02* -X17993Y-30258D01* -X17993Y-30258D02* -X17993Y-28258D01* -X20993Y-28258D02* -X20993Y-30258D01* -G54D13* -X21028Y-3992D02* -X21462Y-3992D01* -X21462Y-3992D02* -X21462Y-3401D01* -X21462Y-3401D02* -X21028Y-3401D01* -X17524Y-3400D02* -X17958Y-3400D01* -X17524Y-3991D02* -X17524Y-3400D01* -X17958Y-3991D02* -X17524Y-3991D01* -X17958Y-1825D02* -X17524Y-1825D01* -X17524Y-1825D02* -X17524Y-1234D01* -X17524Y-1234D02* -X17958Y-1234D01* -X21028Y-1825D02* -X21462Y-1825D01* -X21462Y-1825D02* -X21462Y-1234D01* -X21462Y-1234D02* -X21028Y-1234D01* -X21028Y-289D02* -X17958Y-289D01* -X17958Y-289D02* -X17958Y-4227D01* -X17958Y-4227D02* -X21028Y-4227D01* -X21028Y-4227D02* -X21028Y-289D01* -G54D11* -X24393Y-37258D02* -G75* -G03X24393Y-37258I-900J0D01* -G74* -G01* -X16393Y-37258D02* -G75* -G03X16393Y-37258I-900J0D01* -G74* -G01* -X24393Y-2258D02* -G75* -G03X24393Y-2258I-900J0D01* -G74* -G01* -X16393Y-2258D02* -G75* -G03X16393Y-2258I-900J0D01* -G74* -G01* -G54D14* -X20828Y-33962D02* -X21458Y-33962D01* -X21458Y-34002D02* -X20828Y-34002D01* -X21458Y-33057D02* -X21458Y-34159D01* -X21458Y-34159D02* -X20828Y-34159D01* -X20828Y-34159D02* -X20828Y-33057D01* -X20828Y-33057D02* -X21458Y-33057D01* -G54D13* -X21493Y-38758D02* -X17493Y-38758D01* -X21493Y-39258D02* -X21493Y-36258D01* -X21493Y-36258D02* -X17493Y-36258D01* -X17493Y-36258D02* -X17493Y-39258D01* -X17493Y-39258D02* -X21493Y-39258D01* -G54D14* -X15993Y-10608D02* -X16893Y-10608D01* -X16893Y-10608D02* -X16893Y-11108D01* -X16893Y-11108D02* -X15993Y-11108D01* -X15993Y-11108D02* -X15993Y-10608D01* -X14793Y-10608D02* -X15693Y-10608D01* -X15693Y-10608D02* -X15693Y-11108D01* -X15693Y-11108D02* -X14793Y-11108D01* -X14793Y-11108D02* -X14793Y-10608D01* -X20893Y-31908D02* -X20893Y-31008D01* -X20893Y-31008D02* -X21393Y-31008D01* -X21393Y-31008D02* -X21393Y-31908D01* -X21393Y-31908D02* -X20893Y-31908D01* -G54D11* -X20493Y-12258D02* -X20493Y-27258D01* -X20493Y-27258D02* -X18493Y-27258D01* -X18493Y-27258D02* -X18493Y-12258D01* -X18493Y-12258D02* -X20493Y-12258D01* -X19993Y-12258D02* -X19993Y-12758D01* -X19993Y-12758D02* -X18993Y-12758D01* -X18993Y-12758D02* -X18993Y-12258D01* -G54D13* -X21993Y-18758D02* -X22993Y-18758D01* -X22993Y-18758D02* -X22993Y-20758D01* -X22993Y-20758D02* -X21993Y-20758D01* -X21993Y-20758D02* -X21993Y-18758D01* -X21493Y-6758D02* -X21493Y-5758D01* -X21493Y-5758D02* -X23493Y-5758D01* -X23493Y-5758D02* -X23493Y-6758D01* -X23493Y-6758D02* -X21493Y-6758D01* -X15993Y-24258D02* -X16993Y-24258D01* -X16993Y-24258D02* -X16993Y-27258D01* -X16993Y-27258D02* -X15993Y-27258D01* -X15993Y-27258D02* -X15993Y-24258D01* -X16993Y-25258D02* -X15993Y-25258D01* -X14993Y-24258D02* -X15993Y-24258D01* -X15993Y-24258D02* -X15993Y-27258D01* -X15993Y-27258D02* -X14993Y-27258D01* -X14993Y-27258D02* -X14993Y-24258D01* -X15993Y-25258D02* -X14993Y-25258D01* -X13993Y-24258D02* -X14993Y-24258D01* -X14993Y-24258D02* -X14993Y-27258D01* -X14993Y-27258D02* -X13993Y-27258D01* -X13993Y-27258D02* -X13993Y-24258D01* -X14993Y-25258D02* -X13993Y-25258D01* -X13993Y-13758D02* -X14993Y-13758D01* -X14993Y-13758D02* -X14993Y-18758D01* -X14993Y-18758D02* -X13993Y-18758D01* -X13993Y-18758D02* -X13993Y-13758D01* -X13993Y-14758D02* -X14993Y-14758D01* -X14993Y-13758D02* -X15993Y-13758D01* -X15993Y-13758D02* -X15993Y-18758D01* -X15993Y-18758D02* -X14993Y-18758D01* -X14993Y-18758D02* -X14993Y-13758D01* -X14993Y-14758D02* -X15993Y-14758D01* -X15993Y-13758D02* -X16993Y-13758D01* -X16993Y-13758D02* -X16993Y-18758D01* -X16993Y-18758D02* -X15993Y-18758D01* -X15993Y-18758D02* -X15993Y-13758D01* -X15993Y-14758D02* -X16993Y-14758D01* -X24993Y-18758D02* -X23993Y-18758D01* -X23993Y-18758D02* -X23993Y-12758D01* -X23993Y-12758D02* -X24993Y-12758D01* -X24993Y-12758D02* -X24993Y-18758D01* -X24993Y-17758D02* -X23993Y-17758D01* -X23993Y-18758D02* -X22993Y-18758D01* -X22993Y-18758D02* -X22993Y-12758D01* -X22993Y-12758D02* -X23993Y-12758D01* -X23993Y-12758D02* -X23993Y-18758D01* -X23993Y-17758D02* -X22993Y-17758D01* -X24993Y-27758D02* -X23993Y-27758D01* -X23993Y-27758D02* -X23993Y-21758D01* -X23993Y-21758D02* -X24993Y-21758D01* -X24993Y-21758D02* -X24993Y-27758D01* -X24993Y-26758D02* -X23993Y-26758D01* -X23993Y-27758D02* -X22993Y-27758D01* -X22993Y-27758D02* -X22993Y-21758D01* -X22993Y-21758D02* -X23993Y-21758D01* -X23993Y-21758D02* -X23993Y-27758D01* -X23993Y-26758D02* -X22993Y-26758D01* -X22993Y-18758D02* -X21993Y-18758D01* -X21993Y-18758D02* -X21993Y-12758D01* -X21993Y-12758D02* -X22993Y-12758D01* -X22993Y-12758D02* -X22993Y-18758D01* -X22993Y-17758D02* -X21993Y-17758D01* -X22993Y-27758D02* -X21993Y-27758D01* -X21993Y-27758D02* -X21993Y-21758D01* -X21993Y-21758D02* -X22993Y-21758D01* -X22993Y-21758D02* -X22993Y-27758D01* -X22993Y-26758D02* -X21993Y-26758D01* -G54D14* -X14793Y-9858D02* -X15693Y-9858D01* -X15693Y-9858D02* -X15693Y-10358D01* -X15693Y-10358D02* -X14793Y-10358D01* -X14793Y-10358D02* -X14793Y-9858D01* -X17393Y-8308D02* -X16493Y-8308D01* -X16493Y-8308D02* -X16493Y-7808D01* -X16493Y-7808D02* -X17393Y-7808D01* -X17393Y-7808D02* -X17393Y-8308D01* -X22193Y-10308D02* -X22193Y-9408D01* -X22193Y-9408D02* -X22693Y-9408D01* -X22693Y-9408D02* -X22693Y-10308D01* -X22693Y-10308D02* -X22193Y-10308D01* -X21443Y-10308D02* -X21443Y-9408D01* -X21443Y-9408D02* -X21943Y-9408D01* -X21943Y-9408D02* -X21943Y-10308D01* -X21943Y-10308D02* -X21443Y-10308D01* -X14743Y-22008D02* -X14743Y-22908D01* -X14743Y-22908D02* -X14243Y-22908D01* -X14243Y-22908D02* -X14243Y-22008D01* -X14243Y-22008D02* -X14743Y-22008D01* -X14243Y-21508D02* -X14243Y-20608D01* -X14243Y-20608D02* -X14743Y-20608D01* -X14743Y-20608D02* -X14743Y-21508D01* -X14743Y-21508D02* -X14243Y-21508D01* -X23693Y-19108D02* -X23693Y-20008D01* -X23693Y-20008D02* -X23193Y-20008D01* -X23193Y-20008D02* -X23193Y-19108D01* -X23193Y-19108D02* -X23693Y-19108D01* -X23343Y-21008D02* -X24243Y-21008D01* -X24243Y-21008D02* -X24243Y-21508D01* -X24243Y-21508D02* -X23343Y-21508D01* -X23343Y-21508D02* -X23343Y-21008D01* -X14793Y-9108D02* -X15693Y-9108D01* -X15693Y-9108D02* -X15693Y-9608D01* -X15693Y-9608D02* -X14793Y-9608D01* -X14793Y-9608D02* -X14793Y-9108D01* -X15993Y-9108D02* -X16893Y-9108D01* -X16893Y-9108D02* -X16893Y-9608D01* -X16893Y-9608D02* -X15993Y-9608D01* -X15993Y-9608D02* -X15993Y-9108D01* -X17993Y-5508D02* -X17093Y-5508D01* -X17093Y-5508D02* -X17093Y-5008D01* -X17093Y-5008D02* -X17993Y-5008D01* -X17993Y-5008D02* -X17993Y-5508D01* -X18593Y-6508D02* -X17693Y-6508D01* -X17693Y-6508D02* -X17693Y-6008D01* -X17693Y-6008D02* -X18593Y-6008D01* -X18593Y-6008D02* -X18593Y-6508D01* -X17243Y-27508D02* -X18143Y-27508D01* -X18143Y-27508D02* -X18143Y-28008D01* -X18143Y-28008D02* -X17243Y-28008D01* -X17243Y-28008D02* -X17243Y-27508D01* -X15343Y-13008D02* -X16243Y-13008D01* -X16243Y-13008D02* -X16243Y-13508D01* -X16243Y-13508D02* -X15343Y-13508D01* -X15343Y-13508D02* -X15343Y-13008D01* -X15993Y-9858D02* -X16893Y-9858D01* -X16893Y-9858D02* -X16893Y-10358D01* -X16893Y-10358D02* -X15993Y-10358D01* -X15993Y-10358D02* -X15993Y-9858D01* -X20243Y-5358D02* -G75* -G03X20243Y-5358I-50J0D01* -G74* -G01* -X20643Y-5358D02* -X20243Y-5358D01* -X20243Y-5358D02* -X20243Y-4758D01* -X20243Y-4758D02* -X20643Y-4758D01* -X21043Y-4758D02* -X21443Y-4758D01* -X21443Y-4758D02* -X21443Y-5358D01* -X21443Y-5358D02* -X21043Y-5358D01* -X20484Y-11058D02* -G75* -G03X20484Y-11058I-141J0D01* -G74* -G01* -X19243Y-11258D02* -X19243Y-10958D01* -X19243Y-10958D02* -X19743Y-10958D01* -X19743Y-10958D02* -X19743Y-11258D01* -X20543Y-7208D02* -X20543Y-11258D01* -X18443Y-11258D02* -X18443Y-7208D01* -X18443Y-11258D02* -X20543Y-11258D01* -X18443Y-7208D02* -X20543Y-7208D01* -X16493Y-29258D02* -G75* -G03X16493Y-29258I-1000J0D01* -G74* -G01* -X13993Y-30758D02* -X13993Y-27758D01* -X13993Y-27758D02* -X16993Y-27758D01* -X16993Y-27758D02* -X16993Y-30758D01* -X13993Y-30758D02* -X16993Y-30758D01* -G54D13* -X17493Y-5758D02* -X17493Y-6758D01* -X17493Y-6758D02* -X15493Y-6758D01* -X15493Y-6758D02* -X15493Y-5758D01* -X15493Y-5758D02* -X17493Y-5758D01* -G54D14* -X24928Y-32748D02* -X24928Y-33968D01* -X24928Y-33968D02* -X24223Y-33968D01* -X24928Y-32748D02* -X24223Y-32748D01* -X22058Y-34103D02* -X22058Y-34423D01* -X22058Y-34423D02* -X22763Y-34423D01* -X22058Y-34103D02* -X22763Y-34103D01* -X22058Y-33198D02* -X22763Y-33198D01* -X22058Y-33518D02* -X22763Y-33518D01* -X22058Y-33198D02* -X22058Y-33518D01* -X22058Y-32293D02* -X22058Y-32613D01* -X22058Y-32613D02* -X22763Y-32613D01* -X22058Y-32293D02* -X22763Y-32293D01* -X22763Y-34678D02* -X22763Y-32038D01* -X22763Y-32038D02* -X24223Y-32038D01* -X24223Y-32038D02* -X24223Y-34678D01* -X24223Y-34678D02* -X22763Y-34678D01* -G54D11* -X15493Y-22758D02* -X15493Y-20858D01* -X16493Y-20758D02* -X16493Y-22858D01* -X15893Y-20259D02* -G75* -G03X15494Y-20858I100J-499D01* -G74* -G01* -X16493Y-20758D02* -G75* -G03X15993Y-20258I-500J0D01* -G74* -G01* -X15893Y-23257D02* -G75* -G03X16492Y-22858I100J499D01* -G74* -G01* -X15493Y-22758D02* -G75* -G03X15993Y-23258I500J0D01* -G74* -G01* -G54D14* -X10452Y-8109D02* -X10152Y-8109D01* -X10302Y-7959D02* -X10302Y-8259D01* -X10402Y-9009D02* -X8902Y-9009D01* -X10702Y-7509D02* -X10702Y-8709D01* -X10402Y-9009D02* -X10702Y-8709D01* -X10402Y-7209D02* -X8902Y-7209D01* -X10402Y-7209D02* -X10702Y-7509D01* -X9002Y-8159D02* -X9002Y-8059D01* -X9052Y-7859D02* -X9052Y-8359D01* -X9102Y-8459D02* -X9102Y-7759D01* -X9152Y-8559D02* -X9152Y-7659D01* -X9202Y-7609D02* -X9202Y-8609D01* -X9252Y-8659D02* -X9252Y-7559D01* -X9302Y-7509D02* -X9302Y-8709D01* -X9352Y-7459D02* -X9352Y-8759D01* -X10602Y-8109D02* -G75* -G03X10602Y-8109I-800J0D01* -G74* -G01* -X8902Y-7209D02* -X8902Y-9009D01* -X4602Y-31509D02* -X4602Y-32059D01* -X4652Y-31409D02* -X4652Y-32109D01* -X4702Y-31259D02* -X4702Y-32259D01* -X4752Y-32309D02* -X4752Y-31209D01* -X4802Y-31159D02* -X4802Y-32359D01* -X4852Y-32409D02* -X4852Y-31109D01* -X4902Y-31059D02* -X4902Y-32459D01* -X6452Y-31759D02* -G75* -G03X6452Y-31759I-950J0D01* -G74* -G01* -X4452Y-30709D02* -X6252Y-30709D01* -X6252Y-30709D02* -X6552Y-31009D01* -X6552Y-31009D02* -X6552Y-32509D01* -X6552Y-32509D02* -X6252Y-32809D01* -X6252Y-32809D02* -X4452Y-32809D01* -X4452Y-32809D02* -X4452Y-30709D01* -X6352Y-31759D02* -X6052Y-31759D01* -X6202Y-31609D02* -X6202Y-31909D01* -X4602Y-34009D02* -X4602Y-34559D01* -X4652Y-33909D02* -X4652Y-34609D01* -X4702Y-33759D02* -X4702Y-34759D01* -X4752Y-34809D02* -X4752Y-33709D01* -X4802Y-33659D02* -X4802Y-34859D01* -X4852Y-34909D02* -X4852Y-33609D01* -X4902Y-33559D02* -X4902Y-34959D01* -X6452Y-34259D02* -G75* -G03X6452Y-34259I-950J0D01* -G74* -G01* -X4452Y-33209D02* -X6252Y-33209D01* -X6252Y-33209D02* -X6552Y-33509D01* -X6552Y-33509D02* -X6552Y-35009D01* -X6552Y-35009D02* -X6252Y-35309D01* -X6252Y-35309D02* -X4452Y-35309D01* -X4452Y-35309D02* -X4452Y-33209D01* -X6352Y-34259D02* -X6052Y-34259D01* -X6202Y-34109D02* -X6202Y-34409D01* -G54D15* -X5002Y-28259D02* -X8002Y-28259D01* -X8002Y-30259D02* -X5002Y-30259D01* -X5002Y-30259D02* -X5002Y-28259D01* -X8002Y-28259D02* -X8002Y-30259D01* -G54D13* -X8037Y-3993D02* -X8471Y-3993D01* -X8471Y-3993D02* -X8471Y-3402D01* -X8471Y-3402D02* -X8037Y-3402D01* -X4533Y-3401D02* -X4967Y-3401D01* -X4533Y-3992D02* -X4533Y-3401D01* -X4967Y-3992D02* -X4533Y-3992D01* -X4967Y-1826D02* -X4533Y-1826D01* -X4533Y-1826D02* -X4533Y-1235D01* -X4533Y-1235D02* -X4967Y-1235D01* -X8037Y-1826D02* -X8471Y-1826D01* -X8471Y-1826D02* -X8471Y-1235D01* -X8471Y-1235D02* -X8037Y-1235D01* -X8037Y-290D02* -X4967Y-290D01* -X4967Y-290D02* -X4967Y-4228D01* -X4967Y-4228D02* -X8037Y-4228D01* -X8037Y-4228D02* -X8037Y-290D01* -G54D11* -X11402Y-37259D02* -G75* -G03X11402Y-37259I-900J0D01* -G74* -G01* -X3402Y-37259D02* -G75* -G03X3402Y-37259I-900J0D01* -G74* -G01* -X11402Y-2259D02* -G75* -G03X11402Y-2259I-900J0D01* -G74* -G01* -X3402Y-2259D02* -G75* -G03X3402Y-2259I-900J0D01* -G74* -G01* -G54D14* -X7837Y-33963D02* -X8467Y-33963D01* -X8467Y-34003D02* -X7837Y-34003D01* -X8467Y-33058D02* -X8467Y-34160D01* -X8467Y-34160D02* -X7837Y-34160D01* -X7837Y-34160D02* -X7837Y-33058D01* -X7837Y-33058D02* -X8467Y-33058D01* -G54D13* -X8502Y-38759D02* -X4502Y-38759D01* -X8502Y-39259D02* -X8502Y-36259D01* -X8502Y-36259D02* -X4502Y-36259D01* -X4502Y-36259D02* -X4502Y-39259D01* -X4502Y-39259D02* -X8502Y-39259D01* -G54D14* -X3002Y-10609D02* -X3902Y-10609D01* -X3902Y-10609D02* -X3902Y-11109D01* -X3902Y-11109D02* -X3002Y-11109D01* -X3002Y-11109D02* -X3002Y-10609D01* -X1802Y-10609D02* -X2702Y-10609D01* -X2702Y-10609D02* -X2702Y-11109D01* -X2702Y-11109D02* -X1802Y-11109D01* -X1802Y-11109D02* -X1802Y-10609D01* -X7902Y-31909D02* -X7902Y-31009D01* -X7902Y-31009D02* -X8402Y-31009D01* -X8402Y-31009D02* -X8402Y-31909D01* -X8402Y-31909D02* -X7902Y-31909D01* -G54D11* -X7502Y-12259D02* -X7502Y-27259D01* -X7502Y-27259D02* -X5502Y-27259D01* -X5502Y-27259D02* -X5502Y-12259D01* -X5502Y-12259D02* -X7502Y-12259D01* -X7002Y-12259D02* -X7002Y-12759D01* -X7002Y-12759D02* -X6002Y-12759D01* -X6002Y-12759D02* -X6002Y-12259D01* -G54D13* -X9002Y-18759D02* -X10002Y-18759D01* -X10002Y-18759D02* -X10002Y-20759D01* -X10002Y-20759D02* -X9002Y-20759D01* -X9002Y-20759D02* -X9002Y-18759D01* -X8502Y-6759D02* -X8502Y-5759D01* -X8502Y-5759D02* -X10502Y-5759D01* -X10502Y-5759D02* -X10502Y-6759D01* -X10502Y-6759D02* -X8502Y-6759D01* -X3002Y-24259D02* -X4002Y-24259D01* -X4002Y-24259D02* -X4002Y-27259D01* -X4002Y-27259D02* -X3002Y-27259D01* -X3002Y-27259D02* -X3002Y-24259D01* -X4002Y-25259D02* -X3002Y-25259D01* -X2002Y-24259D02* -X3002Y-24259D01* -X3002Y-24259D02* -X3002Y-27259D01* -X3002Y-27259D02* -X2002Y-27259D01* -X2002Y-27259D02* -X2002Y-24259D01* -X3002Y-25259D02* -X2002Y-25259D01* -X1002Y-24259D02* -X2002Y-24259D01* -X2002Y-24259D02* -X2002Y-27259D01* -X2002Y-27259D02* -X1002Y-27259D01* -X1002Y-27259D02* -X1002Y-24259D01* -X2002Y-25259D02* -X1002Y-25259D01* -X1002Y-13759D02* -X2002Y-13759D01* -X2002Y-13759D02* -X2002Y-18759D01* -X2002Y-18759D02* -X1002Y-18759D01* -X1002Y-18759D02* -X1002Y-13759D01* -X1002Y-14759D02* -X2002Y-14759D01* -X2002Y-13759D02* -X3002Y-13759D01* -X3002Y-13759D02* -X3002Y-18759D01* -X3002Y-18759D02* -X2002Y-18759D01* -X2002Y-18759D02* -X2002Y-13759D01* -X2002Y-14759D02* -X3002Y-14759D01* -X3002Y-13759D02* -X4002Y-13759D01* -X4002Y-13759D02* -X4002Y-18759D01* -X4002Y-18759D02* -X3002Y-18759D01* -X3002Y-18759D02* -X3002Y-13759D01* -X3002Y-14759D02* -X4002Y-14759D01* -X12002Y-18759D02* -X11002Y-18759D01* -X11002Y-18759D02* -X11002Y-12759D01* -X11002Y-12759D02* -X12002Y-12759D01* -X12002Y-12759D02* -X12002Y-18759D01* -X12002Y-17759D02* -X11002Y-17759D01* -X11002Y-18759D02* -X10002Y-18759D01* -X10002Y-18759D02* -X10002Y-12759D01* -X10002Y-12759D02* -X11002Y-12759D01* -X11002Y-12759D02* -X11002Y-18759D01* -X11002Y-17759D02* -X10002Y-17759D01* -X12002Y-27759D02* -X11002Y-27759D01* -X11002Y-27759D02* -X11002Y-21759D01* -X11002Y-21759D02* -X12002Y-21759D01* -X12002Y-21759D02* -X12002Y-27759D01* -X12002Y-26759D02* -X11002Y-26759D01* -X11002Y-27759D02* -X10002Y-27759D01* -X10002Y-27759D02* -X10002Y-21759D01* -X10002Y-21759D02* -X11002Y-21759D01* -X11002Y-21759D02* -X11002Y-27759D01* -X11002Y-26759D02* -X10002Y-26759D01* -X10002Y-18759D02* -X9002Y-18759D01* -X9002Y-18759D02* -X9002Y-12759D01* -X9002Y-12759D02* -X10002Y-12759D01* -X10002Y-12759D02* -X10002Y-18759D01* -X10002Y-17759D02* -X9002Y-17759D01* -X10002Y-27759D02* -X9002Y-27759D01* -X9002Y-27759D02* -X9002Y-21759D01* -X9002Y-21759D02* -X10002Y-21759D01* -X10002Y-21759D02* -X10002Y-27759D01* -X10002Y-26759D02* -X9002Y-26759D01* -G54D14* -X1802Y-9859D02* -X2702Y-9859D01* -X2702Y-9859D02* -X2702Y-10359D01* -X2702Y-10359D02* -X1802Y-10359D01* -X1802Y-10359D02* -X1802Y-9859D01* -X4402Y-8309D02* -X3502Y-8309D01* -X3502Y-8309D02* -X3502Y-7809D01* -X3502Y-7809D02* -X4402Y-7809D01* -X4402Y-7809D02* -X4402Y-8309D01* -X9202Y-10309D02* -X9202Y-9409D01* -X9202Y-9409D02* -X9702Y-9409D01* -X9702Y-9409D02* -X9702Y-10309D01* -X9702Y-10309D02* -X9202Y-10309D01* -X8452Y-10309D02* -X8452Y-9409D01* -X8452Y-9409D02* -X8952Y-9409D01* -X8952Y-9409D02* -X8952Y-10309D01* -X8952Y-10309D02* -X8452Y-10309D01* -X1752Y-22009D02* -X1752Y-22909D01* -X1752Y-22909D02* -X1252Y-22909D01* -X1252Y-22909D02* -X1252Y-22009D01* -X1252Y-22009D02* -X1752Y-22009D01* -X1252Y-21509D02* -X1252Y-20609D01* -X1252Y-20609D02* -X1752Y-20609D01* -X1752Y-20609D02* -X1752Y-21509D01* -X1752Y-21509D02* -X1252Y-21509D01* -X10702Y-19109D02* -X10702Y-20009D01* -X10702Y-20009D02* -X10202Y-20009D01* -X10202Y-20009D02* -X10202Y-19109D01* -X10202Y-19109D02* -X10702Y-19109D01* -X10352Y-21009D02* -X11252Y-21009D01* -X11252Y-21009D02* -X11252Y-21509D01* -X11252Y-21509D02* -X10352Y-21509D01* -X10352Y-21509D02* -X10352Y-21009D01* -X1802Y-9109D02* -X2702Y-9109D01* -X2702Y-9109D02* -X2702Y-9609D01* -X2702Y-9609D02* -X1802Y-9609D01* -X1802Y-9609D02* -X1802Y-9109D01* -X3002Y-9109D02* -X3902Y-9109D01* -X3902Y-9109D02* -X3902Y-9609D01* -X3902Y-9609D02* -X3002Y-9609D01* -X3002Y-9609D02* -X3002Y-9109D01* -X5002Y-5509D02* -X4102Y-5509D01* -X4102Y-5509D02* -X4102Y-5009D01* -X4102Y-5009D02* -X5002Y-5009D01* -X5002Y-5009D02* -X5002Y-5509D01* -X5602Y-6509D02* -X4702Y-6509D01* -X4702Y-6509D02* -X4702Y-6009D01* -X4702Y-6009D02* -X5602Y-6009D01* -X5602Y-6009D02* -X5602Y-6509D01* -X4252Y-27509D02* -X5152Y-27509D01* -X5152Y-27509D02* -X5152Y-28009D01* -X5152Y-28009D02* -X4252Y-28009D01* -X4252Y-28009D02* -X4252Y-27509D01* -X2352Y-13009D02* -X3252Y-13009D01* -X3252Y-13009D02* -X3252Y-13509D01* -X3252Y-13509D02* -X2352Y-13509D01* -X2352Y-13509D02* -X2352Y-13009D01* -X3002Y-9859D02* -X3902Y-9859D01* -X3902Y-9859D02* -X3902Y-10359D01* -X3902Y-10359D02* -X3002Y-10359D01* -X3002Y-10359D02* -X3002Y-9859D01* -X7252Y-5359D02* -G75* -G03X7252Y-5359I-50J0D01* -G74* -G01* -X7652Y-5359D02* -X7252Y-5359D01* -X7252Y-5359D02* -X7252Y-4759D01* -X7252Y-4759D02* -X7652Y-4759D01* -X8052Y-4759D02* -X8452Y-4759D01* -X8452Y-4759D02* -X8452Y-5359D01* -X8452Y-5359D02* -X8052Y-5359D01* -X7493Y-11059D02* -G75* -G03X7493Y-11059I-141J0D01* -G74* -G01* -X6252Y-11259D02* -X6252Y-10959D01* -X6252Y-10959D02* -X6752Y-10959D01* -X6752Y-10959D02* -X6752Y-11259D01* -X7552Y-7209D02* -X7552Y-11259D01* -X5452Y-11259D02* -X5452Y-7209D01* -X5452Y-11259D02* -X7552Y-11259D01* -X5452Y-7209D02* -X7552Y-7209D01* -X3502Y-29259D02* -G75* -G03X3502Y-29259I-1000J0D01* -G74* -G01* -X1002Y-30759D02* -X1002Y-27759D01* -X1002Y-27759D02* -X4002Y-27759D01* -X4002Y-27759D02* -X4002Y-30759D01* -X1002Y-30759D02* -X4002Y-30759D01* -G54D13* -X4502Y-5759D02* -X4502Y-6759D01* -X4502Y-6759D02* -X2502Y-6759D01* -X2502Y-6759D02* -X2502Y-5759D01* -X2502Y-5759D02* -X4502Y-5759D01* -G54D14* -X11937Y-32749D02* -X11937Y-33969D01* -X11937Y-33969D02* -X11232Y-33969D01* -X11937Y-32749D02* -X11232Y-32749D01* -X9067Y-34104D02* -X9067Y-34424D01* -X9067Y-34424D02* -X9772Y-34424D01* -X9067Y-34104D02* -X9772Y-34104D01* -X9067Y-33199D02* -X9772Y-33199D01* -X9067Y-33519D02* -X9772Y-33519D01* -X9067Y-33199D02* -X9067Y-33519D01* -X9067Y-32294D02* -X9067Y-32614D01* -X9067Y-32614D02* -X9772Y-32614D01* -X9067Y-32294D02* -X9772Y-32294D01* -X9772Y-34679D02* -X9772Y-32039D01* -X9772Y-32039D02* -X11232Y-32039D01* -X11232Y-32039D02* -X11232Y-34679D01* -X11232Y-34679D02* -X9772Y-34679D01* -G54D11* -X2502Y-22759D02* -X2502Y-20859D01* -X3502Y-20759D02* -X3502Y-22859D01* -X2902Y-20260D02* -G75* -G03X2503Y-20859I100J-499D01* -G74* -G01* -X3502Y-20759D02* -G75* -G03X3002Y-20259I-500J0D01* -G74* -G01* -X2902Y-23258D02* -G75* -G03X3501Y-22859I100J499D01* -G74* -G01* -X2502Y-22759D02* -G75* -G03X3002Y-23259I500J0D01* -G74* -G01* -X28879Y-22759D02* -X28879Y-20859D01* -X29879Y-20759D02* -X29879Y-22859D01* -X29279Y-20260D02* -G75* -G03X28880Y-20859I100J-499D01* -G74* -G01* -X29879Y-20759D02* -G75* -G03X29379Y-20259I-500J0D01* -G74* -G01* -X29279Y-23258D02* -G75* -G03X29878Y-22859I100J499D01* -G74* -G01* -X28879Y-22759D02* -G75* -G03X29379Y-23259I500J0D01* -G74* -G01* -G54D14* -X38314Y-32749D02* -X38314Y-33969D01* -X38314Y-33969D02* -X37609Y-33969D01* -X38314Y-32749D02* -X37609Y-32749D01* -X35444Y-34104D02* -X35444Y-34424D01* -X35444Y-34424D02* -X36149Y-34424D01* -X35444Y-34104D02* -X36149Y-34104D01* -X35444Y-33199D02* -X36149Y-33199D01* -X35444Y-33519D02* -X36149Y-33519D01* -X35444Y-33199D02* -X35444Y-33519D01* -X35444Y-32294D02* -X35444Y-32614D01* -X35444Y-32614D02* -X36149Y-32614D01* -X35444Y-32294D02* -X36149Y-32294D01* -X36149Y-34679D02* -X36149Y-32039D01* -X36149Y-32039D02* -X37609Y-32039D01* -X37609Y-32039D02* -X37609Y-34679D01* -X37609Y-34679D02* -X36149Y-34679D01* -G54D13* -X30879Y-5759D02* -X30879Y-6759D01* -X30879Y-6759D02* -X28879Y-6759D01* -X28879Y-6759D02* -X28879Y-5759D01* -X28879Y-5759D02* -X30879Y-5759D01* -G54D14* -X29879Y-29259D02* -G75* -G03X29879Y-29259I-1000J0D01* -G74* -G01* -X27379Y-30759D02* -X27379Y-27759D01* -X27379Y-27759D02* -X30379Y-27759D01* -X30379Y-27759D02* -X30379Y-30759D01* -X27379Y-30759D02* -X30379Y-30759D01* -X33870Y-11059D02* -G75* -G03X33870Y-11059I-141J0D01* -G74* -G01* -X32629Y-11259D02* -X32629Y-10959D01* -X32629Y-10959D02* -X33129Y-10959D01* -X33129Y-10959D02* -X33129Y-11259D01* -X33929Y-7209D02* -X33929Y-11259D01* -X31829Y-11259D02* -X31829Y-7209D01* -X31829Y-11259D02* -X33929Y-11259D01* -X31829Y-7209D02* -X33929Y-7209D01* -X33629Y-5359D02* -G75* -G03X33629Y-5359I-50J0D01* -G74* -G01* -X34029Y-5359D02* -X33629Y-5359D01* -X33629Y-5359D02* -X33629Y-4759D01* -X33629Y-4759D02* -X34029Y-4759D01* -X34429Y-4759D02* -X34829Y-4759D01* -X34829Y-4759D02* -X34829Y-5359D01* -X34829Y-5359D02* -X34429Y-5359D01* -X29379Y-9859D02* -X30279Y-9859D01* -X30279Y-9859D02* -X30279Y-10359D01* -X30279Y-10359D02* -X29379Y-10359D01* -X29379Y-10359D02* -X29379Y-9859D01* -X28729Y-13009D02* -X29629Y-13009D01* -X29629Y-13009D02* -X29629Y-13509D01* -X29629Y-13509D02* -X28729Y-13509D01* -X28729Y-13509D02* -X28729Y-13009D01* -X30629Y-27509D02* -X31529Y-27509D01* -X31529Y-27509D02* -X31529Y-28009D01* -X31529Y-28009D02* -X30629Y-28009D01* -X30629Y-28009D02* -X30629Y-27509D01* -X31979Y-6509D02* -X31079Y-6509D01* -X31079Y-6509D02* -X31079Y-6009D01* -X31079Y-6009D02* -X31979Y-6009D01* -X31979Y-6009D02* -X31979Y-6509D01* -X31379Y-5509D02* -X30479Y-5509D01* -X30479Y-5509D02* -X30479Y-5009D01* -X30479Y-5009D02* -X31379Y-5009D01* -X31379Y-5009D02* -X31379Y-5509D01* -X29379Y-9109D02* -X30279Y-9109D01* -X30279Y-9109D02* -X30279Y-9609D01* -X30279Y-9609D02* -X29379Y-9609D01* -X29379Y-9609D02* -X29379Y-9109D01* -X28179Y-9109D02* -X29079Y-9109D01* -X29079Y-9109D02* -X29079Y-9609D01* -X29079Y-9609D02* -X28179Y-9609D01* -X28179Y-9609D02* -X28179Y-9109D01* -X36729Y-21009D02* -X37629Y-21009D01* -X37629Y-21009D02* -X37629Y-21509D01* -X37629Y-21509D02* -X36729Y-21509D01* -X36729Y-21509D02* -X36729Y-21009D01* -X37079Y-19109D02* -X37079Y-20009D01* -X37079Y-20009D02* -X36579Y-20009D01* -X36579Y-20009D02* -X36579Y-19109D01* -X36579Y-19109D02* -X37079Y-19109D01* -X27629Y-21509D02* -X27629Y-20609D01* -X27629Y-20609D02* -X28129Y-20609D01* -X28129Y-20609D02* -X28129Y-21509D01* -X28129Y-21509D02* -X27629Y-21509D01* -X28129Y-22009D02* -X28129Y-22909D01* -X28129Y-22909D02* -X27629Y-22909D01* -X27629Y-22909D02* -X27629Y-22009D01* -X27629Y-22009D02* -X28129Y-22009D01* -X34829Y-10309D02* -X34829Y-9409D01* -X34829Y-9409D02* -X35329Y-9409D01* -X35329Y-9409D02* -X35329Y-10309D01* -X35329Y-10309D02* -X34829Y-10309D01* -X35579Y-10309D02* -X35579Y-9409D01* -X35579Y-9409D02* -X36079Y-9409D01* -X36079Y-9409D02* -X36079Y-10309D01* -X36079Y-10309D02* -X35579Y-10309D01* -X30779Y-8309D02* -X29879Y-8309D01* -X29879Y-8309D02* -X29879Y-7809D01* -X29879Y-7809D02* -X30779Y-7809D01* -X30779Y-7809D02* -X30779Y-8309D01* -X28179Y-9859D02* -X29079Y-9859D01* -X29079Y-9859D02* -X29079Y-10359D01* -X29079Y-10359D02* -X28179Y-10359D01* -X28179Y-10359D02* -X28179Y-9859D01* -G54D13* -X36379Y-27759D02* -X35379Y-27759D01* -X35379Y-27759D02* -X35379Y-21759D01* -X35379Y-21759D02* -X36379Y-21759D01* -X36379Y-21759D02* -X36379Y-27759D01* -X36379Y-26759D02* -X35379Y-26759D01* -X36379Y-18759D02* -X35379Y-18759D01* -X35379Y-18759D02* -X35379Y-12759D01* -X35379Y-12759D02* -X36379Y-12759D01* -X36379Y-12759D02* -X36379Y-18759D01* -X36379Y-17759D02* -X35379Y-17759D01* -X37379Y-27759D02* -X36379Y-27759D01* -X36379Y-27759D02* -X36379Y-21759D01* -X36379Y-21759D02* -X37379Y-21759D01* -X37379Y-21759D02* -X37379Y-27759D01* -X37379Y-26759D02* -X36379Y-26759D01* -X38379Y-27759D02* -X37379Y-27759D01* -X37379Y-27759D02* -X37379Y-21759D01* -X37379Y-21759D02* -X38379Y-21759D01* -X38379Y-21759D02* -X38379Y-27759D01* -X38379Y-26759D02* -X37379Y-26759D01* -X37379Y-18759D02* -X36379Y-18759D01* -X36379Y-18759D02* -X36379Y-12759D01* -X36379Y-12759D02* -X37379Y-12759D01* -X37379Y-12759D02* -X37379Y-18759D01* -X37379Y-17759D02* -X36379Y-17759D01* -X38379Y-18759D02* -X37379Y-18759D01* -X37379Y-18759D02* -X37379Y-12759D01* -X37379Y-12759D02* -X38379Y-12759D01* -X38379Y-12759D02* -X38379Y-18759D01* -X38379Y-17759D02* -X37379Y-17759D01* -X29379Y-13759D02* -X30379Y-13759D01* -X30379Y-13759D02* -X30379Y-18759D01* -X30379Y-18759D02* -X29379Y-18759D01* -X29379Y-18759D02* -X29379Y-13759D01* -X29379Y-14759D02* -X30379Y-14759D01* -X28379Y-13759D02* -X29379Y-13759D01* -X29379Y-13759D02* -X29379Y-18759D01* -X29379Y-18759D02* -X28379Y-18759D01* -X28379Y-18759D02* -X28379Y-13759D01* -X28379Y-14759D02* -X29379Y-14759D01* -X27379Y-13759D02* -X28379Y-13759D01* -X28379Y-13759D02* -X28379Y-18759D01* -X28379Y-18759D02* -X27379Y-18759D01* -X27379Y-18759D02* -X27379Y-13759D01* -X27379Y-14759D02* -X28379Y-14759D01* -X27379Y-24259D02* -X28379Y-24259D01* -X28379Y-24259D02* -X28379Y-27259D01* -X28379Y-27259D02* -X27379Y-27259D01* -X27379Y-27259D02* -X27379Y-24259D01* -X28379Y-25259D02* -X27379Y-25259D01* -X28379Y-24259D02* -X29379Y-24259D01* -X29379Y-24259D02* -X29379Y-27259D01* -X29379Y-27259D02* -X28379Y-27259D01* -X28379Y-27259D02* -X28379Y-24259D01* -X29379Y-25259D02* -X28379Y-25259D01* -X29379Y-24259D02* -X30379Y-24259D01* -X30379Y-24259D02* -X30379Y-27259D01* -X30379Y-27259D02* -X29379Y-27259D01* -X29379Y-27259D02* -X29379Y-24259D01* -X30379Y-25259D02* -X29379Y-25259D01* -X34879Y-6759D02* -X34879Y-5759D01* -X34879Y-5759D02* -X36879Y-5759D01* -X36879Y-5759D02* -X36879Y-6759D01* -X36879Y-6759D02* -X34879Y-6759D01* -X35379Y-18759D02* -X36379Y-18759D01* -X36379Y-18759D02* -X36379Y-20759D01* -X36379Y-20759D02* -X35379Y-20759D01* -X35379Y-20759D02* -X35379Y-18759D01* -G54D11* -X33879Y-12259D02* -X33879Y-27259D01* -X33879Y-27259D02* -X31879Y-27259D01* -X31879Y-27259D02* -X31879Y-12259D01* -X31879Y-12259D02* -X33879Y-12259D01* -X33379Y-12259D02* -X33379Y-12759D01* -X33379Y-12759D02* -X32379Y-12759D01* -X32379Y-12759D02* -X32379Y-12259D01* -G54D14* -X34279Y-31909D02* -X34279Y-31009D01* -X34279Y-31009D02* -X34779Y-31009D01* -X34779Y-31009D02* -X34779Y-31909D01* -X34779Y-31909D02* -X34279Y-31909D01* -X28179Y-10609D02* -X29079Y-10609D01* -X29079Y-10609D02* -X29079Y-11109D01* -X29079Y-11109D02* -X28179Y-11109D01* -X28179Y-11109D02* -X28179Y-10609D01* -X29379Y-10609D02* -X30279Y-10609D01* -X30279Y-10609D02* -X30279Y-11109D01* -X30279Y-11109D02* -X29379Y-11109D01* -X29379Y-11109D02* -X29379Y-10609D01* -G54D13* -X34879Y-38759D02* -X30879Y-38759D01* -X34879Y-39259D02* -X34879Y-36259D01* -X34879Y-36259D02* -X30879Y-36259D01* -X30879Y-36259D02* -X30879Y-39259D01* -X30879Y-39259D02* -X34879Y-39259D01* -G54D14* -X34214Y-33963D02* -X34844Y-33963D01* -X34844Y-34003D02* -X34214Y-34003D01* -X34844Y-33058D02* -X34844Y-34160D01* -X34844Y-34160D02* -X34214Y-34160D01* -X34214Y-34160D02* -X34214Y-33058D01* -X34214Y-33058D02* -X34844Y-33058D01* -G54D11* -X29779Y-2259D02* -G75* -G03X29779Y-2259I-900J0D01* -G74* -G01* -X37779Y-2259D02* -G75* -G03X37779Y-2259I-900J0D01* -G74* -G01* -X29779Y-37259D02* -G75* -G03X29779Y-37259I-900J0D01* -G74* -G01* -X37779Y-37259D02* -G75* -G03X37779Y-37259I-900J0D01* -G74* -G01* -G54D13* -X34414Y-3993D02* -X34848Y-3993D01* -X34848Y-3993D02* -X34848Y-3402D01* -X34848Y-3402D02* -X34414Y-3402D01* -X30910Y-3401D02* -X31344Y-3401D01* -X30910Y-3992D02* -X30910Y-3401D01* -X31344Y-3992D02* -X30910Y-3992D01* -X31344Y-1826D02* -X30910Y-1826D01* -X30910Y-1826D02* -X30910Y-1235D01* -X30910Y-1235D02* -X31344Y-1235D01* -X34414Y-1826D02* -X34848Y-1826D01* -X34848Y-1826D02* -X34848Y-1235D01* -X34848Y-1235D02* -X34414Y-1235D01* -X34414Y-290D02* -X31344Y-290D01* -X31344Y-290D02* -X31344Y-4228D01* -X31344Y-4228D02* -X34414Y-4228D01* -X34414Y-4228D02* -X34414Y-290D01* -G54D15* -X31379Y-28259D02* -X34379Y-28259D01* -X34379Y-30259D02* -X31379Y-30259D01* -X31379Y-30259D02* -X31379Y-28259D01* -X34379Y-28259D02* -X34379Y-30259D01* -G54D14* -X30979Y-34009D02* -X30979Y-34559D01* -X31029Y-33909D02* -X31029Y-34609D01* -X31079Y-33759D02* -X31079Y-34759D01* -X31129Y-34809D02* -X31129Y-33709D01* -X31179Y-33659D02* -X31179Y-34859D01* -X31229Y-34909D02* -X31229Y-33609D01* -X31279Y-33559D02* -X31279Y-34959D01* -X32829Y-34259D02* -G75* -G03X32829Y-34259I-950J0D01* -G74* -G01* -X30829Y-33209D02* -X32629Y-33209D01* -X32629Y-33209D02* -X32929Y-33509D01* -X32929Y-33509D02* -X32929Y-35009D01* -X32929Y-35009D02* -X32629Y-35309D01* -X32629Y-35309D02* -X30829Y-35309D01* -X30829Y-35309D02* -X30829Y-33209D01* -X32729Y-34259D02* -X32429Y-34259D01* -X32579Y-34109D02* -X32579Y-34409D01* -X30979Y-31509D02* -X30979Y-32059D01* -X31029Y-31409D02* -X31029Y-32109D01* -X31079Y-31259D02* -X31079Y-32259D01* -X31129Y-32309D02* -X31129Y-31209D01* -X31179Y-31159D02* -X31179Y-32359D01* -X31229Y-32409D02* -X31229Y-31109D01* -X31279Y-31059D02* -X31279Y-32459D01* -X32829Y-31759D02* -G75* -G03X32829Y-31759I-950J0D01* -G74* -G01* -X30829Y-30709D02* -X32629Y-30709D01* -X32629Y-30709D02* -X32929Y-31009D01* -X32929Y-31009D02* -X32929Y-32509D01* -X32929Y-32509D02* -X32629Y-32809D01* -X32629Y-32809D02* -X30829Y-32809D01* -X30829Y-32809D02* -X30829Y-30709D01* -X32729Y-31759D02* -X32429Y-31759D01* -X32579Y-31609D02* -X32579Y-31909D01* -X36829Y-8109D02* -X36529Y-8109D01* -X36679Y-7959D02* -X36679Y-8259D01* -X36779Y-9009D02* -X35279Y-9009D01* -X37079Y-7509D02* -X37079Y-8709D01* -X36779Y-9009D02* -X37079Y-8709D01* -X36779Y-7209D02* -X35279Y-7209D01* -X36779Y-7209D02* -X37079Y-7509D01* -X35379Y-8159D02* -X35379Y-8059D01* -X35429Y-7859D02* -X35429Y-8359D01* -X35479Y-8459D02* -X35479Y-7759D01* -X35529Y-8559D02* -X35529Y-7659D01* -X35579Y-7609D02* -X35579Y-8609D01* -X35629Y-8659D02* -X35629Y-7559D01* -X35679Y-7509D02* -X35679Y-8709D01* -X35729Y-7459D02* -X35729Y-8759D01* -X36979Y-8109D02* -G75* -G03X36979Y-8109I-800J0D01* -G74* -G01* -X35279Y-7209D02* -X35279Y-9009D01* -G54D16* -X23810Y-9068D02* -X23801Y-9078D01* -X23773Y-9087D01* -X23754Y-9087D01* -X23726Y-9078D01* -X23707Y-9059D01* -X23698Y-9040D01* -X23689Y-9003D01* -X23689Y-8975D01* -X23698Y-8937D01* -X23707Y-8918D01* -X23726Y-8900D01* -X23754Y-8890D01* -X23773Y-8890D01* -X23801Y-8900D01* -X23810Y-8909D01* -X23876Y-8890D02* -X24007Y-8890D01* -X23923Y-9087D01* -X17016Y-32618D02* -X17007Y-32628D01* -X16979Y-32637D01* -X16960Y-32637D01* -X16932Y-32628D01* -X16913Y-32609D01* -X16904Y-32590D01* -X16895Y-32553D01* -X16895Y-32525D01* -X16904Y-32487D01* -X16913Y-32468D01* -X16932Y-32450D01* -X16960Y-32440D01* -X16979Y-32440D01* -X17007Y-32450D01* -X17016Y-32459D01* -X17204Y-32637D02* -X17092Y-32637D01* -X17148Y-32637D02* -X17148Y-32440D01* -X17129Y-32468D01* -X17110Y-32487D01* -X17092Y-32497D01* -X17392Y-32637D02* -X17280Y-32637D01* -X17336Y-32637D02* -X17336Y-32440D01* -X17317Y-32468D01* -X17298Y-32487D01* -X17280Y-32497D01* -X17110Y-35168D02* -X17101Y-35178D01* -X17073Y-35187D01* -X17054Y-35187D01* -X17026Y-35178D01* -X17007Y-35159D01* -X16998Y-35140D01* -X16989Y-35103D01* -X16989Y-35075D01* -X16998Y-35037D01* -X17007Y-35018D01* -X17026Y-35000D01* -X17054Y-34990D01* -X17073Y-34990D01* -X17101Y-35000D01* -X17110Y-35009D01* -X17279Y-34990D02* -X17242Y-34990D01* -X17223Y-35000D01* -X17214Y-35009D01* -X17195Y-35037D01* -X17186Y-35075D01* -X17186Y-35150D01* -X17195Y-35168D01* -X17204Y-35178D01* -X17223Y-35187D01* -X17261Y-35187D01* -X17279Y-35178D01* -X17289Y-35168D01* -X17298Y-35150D01* -X17298Y-35103D01* -X17289Y-35084D01* -X17279Y-35075D01* -X17261Y-35065D01* -X17223Y-35065D01* -X17204Y-35075D01* -X17195Y-35084D01* -X17186Y-35103D01* -G54D15* -X19107Y-28070D02* -X19107Y-27670D01* -X19260Y-27670D01* -X19298Y-27689D01* -X19317Y-27708D01* -X19336Y-27746D01* -X19336Y-27803D01* -X19317Y-27841D01* -X19298Y-27860D01* -X19260Y-27879D01* -X19107Y-27879D01* -X19717Y-28070D02* -X19488Y-28070D01* -X19602Y-28070D02* -X19602Y-27670D01* -X19564Y-27727D01* -X19526Y-27765D01* -X19488Y-27784D01* -X20098Y-28070D02* -X19869Y-28070D01* -X19983Y-28070D02* -X19983Y-27670D01* -X19945Y-27727D01* -X19907Y-27765D01* -X19869Y-27784D01* -G54D17* -X19363Y-2624D02* -X19363Y-2904D01* -X19344Y-2960D01* -X19307Y-2998D01* -X19251Y-3017D01* -X19213Y-3017D01* -X19755Y-3017D02* -X19531Y-3017D01* -X19643Y-3017D02* -X19643Y-2624D01* -X19606Y-2680D01* -X19568Y-2717D01* -X19531Y-2736D01* -G54D18* -X18998Y-2074D02* -X18998Y-2392D01* -X19016Y-2429D01* -X19035Y-2448D01* -X19072Y-2467D01* -X19147Y-2467D01* -X19185Y-2448D01* -X19203Y-2429D01* -X19222Y-2392D01* -X19222Y-2074D01* -X19391Y-2448D02* -X19447Y-2467D01* -X19541Y-2467D01* -X19578Y-2448D01* -X19597Y-2429D01* -X19615Y-2392D01* -X19615Y-2354D01* -X19597Y-2317D01* -X19578Y-2298D01* -X19541Y-2279D01* -X19466Y-2261D01* -X19428Y-2242D01* -X19410Y-2223D01* -X19391Y-2186D01* -X19391Y-2148D01* -X19410Y-2111D01* -X19428Y-2092D01* -X19466Y-2074D01* -X19559Y-2074D01* -X19615Y-2092D01* -X19915Y-2261D02* -X19971Y-2279D01* -X19989Y-2298D01* -X20008Y-2336D01* -X20008Y-2392D01* -X19989Y-2429D01* -X19971Y-2448D01* -X19933Y-2467D01* -X19784Y-2467D01* -X19784Y-2074D01* -X19915Y-2074D01* -X19952Y-2092D01* -X19971Y-2111D01* -X19989Y-2148D01* -X19989Y-2186D01* -X19971Y-2223D01* -X19952Y-2242D01* -X19915Y-2261D01* -X19784Y-2261D01* -G54D19* -X20706Y-33724D02* -X20549Y-33724D01* -X20549Y-33686D01* -X20557Y-33664D01* -X20571Y-33649D01* -X20586Y-33641D01* -X20616Y-33634D01* -X20639Y-33634D01* -X20669Y-33641D01* -X20684Y-33649D01* -X20699Y-33664D01* -X20706Y-33686D01* -X20706Y-33724D01* -X20564Y-33574D02* -X20557Y-33567D01* -X20549Y-33552D01* -X20549Y-33514D01* -X20557Y-33499D01* -X20564Y-33492D01* -X20579Y-33484D01* -X20594Y-33484D01* -X20616Y-33492D01* -X20706Y-33582D01* -X20706Y-33484D01* -G54D12* -X19015Y-36117D02* -X19015Y-35723D01* -X19165Y-35723D01* -X19202Y-35742D01* -X19221Y-35761D01* -X19240Y-35799D01* -X19240Y-35855D01* -X19221Y-35892D01* -X19202Y-35911D01* -X19165Y-35930D01* -X19015Y-35930D01* -X19615Y-36117D02* -X19390Y-36117D01* -X19503Y-36117D02* -X19503Y-35723D01* -X19465Y-35780D01* -X19428Y-35817D01* -X19390Y-35836D01* -X19859Y-35723D02* -X19896Y-35723D01* -X19934Y-35742D01* -X19953Y-35761D01* -X19971Y-35799D01* -X19990Y-35874D01* -X19990Y-35967D01* -X19971Y-36042D01* -X19953Y-36080D01* -X19934Y-36099D01* -X19896Y-36117D01* -X19859Y-36117D01* -X19821Y-36099D01* -X19803Y-36080D01* -X19784Y-36042D01* -X19765Y-35967D01* -X19765Y-35874D01* -X19784Y-35799D01* -X19803Y-35761D01* -X19821Y-35742D01* -X19859Y-35723D01* -G54D13* -X18222Y-39944D02* -X18193Y-39972D01* -X18107Y-40001D01* -X18050Y-40001D01* -X17965Y-39972D01* -X17907Y-39915D01* -X17879Y-39858D01* -X17850Y-39744D01* -X17850Y-39658D01* -X17879Y-39544D01* -X17907Y-39487D01* -X17965Y-39429D01* -X18050Y-39401D01* -X18107Y-39401D01* -X18193Y-39429D01* -X18222Y-39458D01* -X18593Y-39401D02* -X18707Y-39401D01* -X18765Y-39429D01* -X18822Y-39487D01* -X18850Y-39601D01* -X18850Y-39801D01* -X18822Y-39915D01* -X18765Y-39972D01* -X18707Y-40001D01* -X18593Y-40001D01* -X18536Y-39972D01* -X18479Y-39915D01* -X18450Y-39801D01* -X18450Y-39601D01* -X18479Y-39487D01* -X18536Y-39429D01* -X18593Y-39401D01* -X19108Y-40001D02* -X19108Y-39401D01* -X19451Y-40001D01* -X19451Y-39401D01* -X19737Y-40001D02* -X19737Y-39401D01* -X20080Y-40001D01* -X20080Y-39401D01* -X20223Y-40058D02* -X20680Y-40058D01* -X20794Y-39458D02* -X20823Y-39429D01* -X20880Y-39401D01* -X21023Y-39401D01* -X21080Y-39429D01* -X21109Y-39458D01* -X21137Y-39515D01* -X21137Y-39572D01* -X21109Y-39658D01* -X20766Y-40001D01* -X21137Y-40001D01* -G54D20* -X17111Y-10939D02* -X17111Y-10739D01* -X17154Y-10739D01* -X17179Y-10748D01* -X17197Y-10768D01* -X17205Y-10787D01* -X17214Y-10825D01* -X17214Y-10853D01* -X17205Y-10891D01* -X17197Y-10910D01* -X17179Y-10929D01* -X17154Y-10939D01* -X17111Y-10939D01* -X17385Y-10939D02* -X17282Y-10939D01* -X17334Y-10939D02* -X17334Y-10739D01* -X17317Y-10768D01* -X17299Y-10787D01* -X17282Y-10796D01* -X14464Y-10939D02* -X14404Y-10844D01* -X14361Y-10939D02* -X14361Y-10739D01* -X14429Y-10739D01* -X14447Y-10748D01* -X14455Y-10758D01* -X14464Y-10777D01* -X14464Y-10806D01* -X14455Y-10825D01* -X14447Y-10834D01* -X14429Y-10844D01* -X14361Y-10844D01* -X14532Y-10758D02* -X14541Y-10748D01* -X14558Y-10739D01* -X14601Y-10739D01* -X14618Y-10748D01* -X14627Y-10758D01* -X14635Y-10777D01* -X14635Y-10796D01* -X14627Y-10825D01* -X14524Y-10939D01* -X14635Y-10939D01* -X21205Y-31573D02* -X21214Y-31582D01* -X21224Y-31608D01* -X21224Y-31625D01* -X21214Y-31650D01* -X21195Y-31668D01* -X21176Y-31676D01* -X21138Y-31685D01* -X21110Y-31685D01* -X21072Y-31676D01* -X21053Y-31668D01* -X21033Y-31650D01* -X21024Y-31625D01* -X21024Y-31608D01* -X21033Y-31582D01* -X21043Y-31573D01* -X21224Y-31402D02* -X21224Y-31505D01* -X21224Y-31453D02* -X21024Y-31453D01* -X21053Y-31470D01* -X21072Y-31488D01* -X21081Y-31505D01* -X21043Y-31334D02* -X21033Y-31325D01* -X21024Y-31308D01* -X21024Y-31265D01* -X21033Y-31248D01* -X21043Y-31239D01* -X21062Y-31231D01* -X21081Y-31231D01* -X21110Y-31239D01* -X21224Y-31342D01* -X21224Y-31231D01* -G54D21* -X19736Y-20197D02* -X19136Y-20197D01* -X19679Y-19726D02* -X19707Y-19747D01* -X19736Y-19811D01* -X19736Y-19854D01* -X19707Y-19919D01* -X19650Y-19961D01* -X19593Y-19983D01* -X19479Y-20004D01* -X19393Y-20004D01* -X19279Y-19983D01* -X19222Y-19961D01* -X19164Y-19919D01* -X19136Y-19854D01* -X19136Y-19811D01* -X19164Y-19747D01* -X19193Y-19726D01* -X19736Y-19297D02* -X19736Y-19554D01* -X19736Y-19426D02* -X19136Y-19426D01* -X19222Y-19469D01* -X19279Y-19511D01* -X19307Y-19554D01* -G54D22* -X22329Y-21023D02* -X22329Y-21305D01* -X22320Y-21361D01* -X22301Y-21399D01* -X22273Y-21417D01* -X22254Y-21417D01* -X22423Y-21417D02* -X22423Y-21023D01* -X22498Y-21023D01* -X22517Y-21042D01* -X22526Y-21061D01* -X22535Y-21099D01* -X22535Y-21155D01* -X22526Y-21192D01* -X22517Y-21211D01* -X22498Y-21230D01* -X22423Y-21230D01* -X22723Y-21417D02* -X22611Y-21417D01* -X22667Y-21417D02* -X22667Y-21023D01* -X22648Y-21080D01* -X22629Y-21117D01* -X22611Y-21136D01* -X23729Y-6073D02* -X23729Y-6355D01* -X23720Y-6411D01* -X23701Y-6449D01* -X23673Y-6467D01* -X23654Y-6467D01* -X23823Y-6467D02* -X23823Y-6073D01* -X23898Y-6073D01* -X23917Y-6092D01* -X23926Y-6111D01* -X23935Y-6149D01* -X23935Y-6205D01* -X23926Y-6242D01* -X23917Y-6261D01* -X23898Y-6280D01* -X23823Y-6280D01* -X24001Y-6073D02* -X24123Y-6073D01* -X24057Y-6224D01* -X24086Y-6224D01* -X24104Y-6242D01* -X24114Y-6261D01* -X24123Y-6299D01* -X24123Y-6392D01* -X24114Y-6430D01* -X24104Y-6449D01* -X24086Y-6467D01* -X24029Y-6467D01* -X24011Y-6449D01* -X24001Y-6430D01* -X16602Y-24053D02* -X16208Y-24053D01* -X16602Y-23941D02* -X16377Y-24025D01* -X16208Y-23941D02* -X16434Y-24053D01* -X16602Y-23753D02* -X16602Y-23865D01* -X16602Y-23809D02* -X16208Y-23809D01* -X16265Y-23828D01* -X16302Y-23847D01* -X16321Y-23865D01* -X15652Y-24053D02* -X15258Y-24053D01* -X15652Y-23941D02* -X15427Y-24025D01* -X15258Y-23941D02* -X15484Y-24053D01* -X15296Y-23865D02* -X15277Y-23856D01* -X15258Y-23837D01* -X15258Y-23790D01* -X15277Y-23772D01* -X15296Y-23762D01* -X15334Y-23753D01* -X15371Y-23753D01* -X15427Y-23762D01* -X15652Y-23875D01* -X15652Y-23753D01* -X14702Y-24053D02* -X14308Y-24053D01* -X14702Y-23941D02* -X14477Y-24025D01* -X14308Y-23941D02* -X14534Y-24053D01* -X14308Y-23875D02* -X14308Y-23753D01* -X14459Y-23819D01* -X14459Y-23790D01* -X14477Y-23772D01* -X14496Y-23762D01* -X14534Y-23753D01* -X14627Y-23753D01* -X14665Y-23762D01* -X14684Y-23772D01* -X14702Y-23790D01* -X14702Y-23847D01* -X14684Y-23865D01* -X14665Y-23875D01* -X14652Y-19303D02* -X14258Y-19303D01* -X14258Y-19228D01* -X14277Y-19209D01* -X14296Y-19200D01* -X14334Y-19191D01* -X14390Y-19191D01* -X14427Y-19200D01* -X14446Y-19209D01* -X14465Y-19228D01* -X14465Y-19303D01* -X14652Y-19097D02* -X14652Y-19059D01* -X14634Y-19040D01* -X14615Y-19031D01* -X14559Y-19012D01* -X14484Y-19003D01* -X14334Y-19003D01* -X14296Y-19012D01* -X14277Y-19022D01* -X14258Y-19040D01* -X14258Y-19078D01* -X14277Y-19097D01* -X14296Y-19106D01* -X14334Y-19115D01* -X14427Y-19115D01* -X14465Y-19106D01* -X14484Y-19097D01* -X14502Y-19078D01* -X14502Y-19040D01* -X14484Y-19022D01* -X14465Y-19012D01* -X14427Y-19003D01* -X15652Y-19303D02* -X15258Y-19303D01* -X15258Y-19228D01* -X15277Y-19209D01* -X15296Y-19200D01* -X15334Y-19191D01* -X15390Y-19191D01* -X15427Y-19200D01* -X15446Y-19209D01* -X15465Y-19228D01* -X15465Y-19303D01* -X15258Y-19125D02* -X15258Y-18994D01* -X15652Y-19078D01* -X16702Y-19303D02* -X16308Y-19303D01* -X16308Y-19228D01* -X16327Y-19209D01* -X16346Y-19200D01* -X16384Y-19191D01* -X16440Y-19191D01* -X16477Y-19200D01* -X16496Y-19209D01* -X16515Y-19228D01* -X16515Y-19303D01* -X16308Y-19012D02* -X16308Y-19106D01* -X16496Y-19115D01* -X16477Y-19106D01* -X16459Y-19087D01* -X16459Y-19040D01* -X16477Y-19022D01* -X16496Y-19012D01* -X16534Y-19003D01* -X16627Y-19003D01* -X16665Y-19012D01* -X16684Y-19022D01* -X16702Y-19040D01* -X16702Y-19087D01* -X16684Y-19106D01* -X16665Y-19115D01* -X24652Y-12603D02* -X24258Y-12603D01* -X24258Y-12528D01* -X24277Y-12509D01* -X24296Y-12500D01* -X24334Y-12491D01* -X24390Y-12491D01* -X24427Y-12500D01* -X24446Y-12509D01* -X24465Y-12528D01* -X24465Y-12603D01* -X24427Y-12378D02* -X24409Y-12397D01* -X24390Y-12406D01* -X24352Y-12415D01* -X24334Y-12415D01* -X24296Y-12406D01* -X24277Y-12397D01* -X24258Y-12378D01* -X24258Y-12340D01* -X24277Y-12322D01* -X24296Y-12312D01* -X24334Y-12303D01* -X24352Y-12303D01* -X24390Y-12312D01* -X24409Y-12322D01* -X24427Y-12340D01* -X24427Y-12378D01* -X24446Y-12397D01* -X24465Y-12406D01* -X24502Y-12415D01* -X24577Y-12415D01* -X24615Y-12406D01* -X24634Y-12397D01* -X24652Y-12378D01* -X24652Y-12340D01* -X24634Y-12322D01* -X24615Y-12312D01* -X24577Y-12303D01* -X24502Y-12303D01* -X24465Y-12312D01* -X24446Y-12322D01* -X24427Y-12340D01* -X23652Y-12603D02* -X23258Y-12603D01* -X23258Y-12528D01* -X23277Y-12509D01* -X23296Y-12500D01* -X23334Y-12491D01* -X23390Y-12491D01* -X23427Y-12500D01* -X23446Y-12509D01* -X23465Y-12528D01* -X23465Y-12603D01* -X23258Y-12322D02* -X23258Y-12359D01* -X23277Y-12378D01* -X23296Y-12387D01* -X23352Y-12406D01* -X23427Y-12415D01* -X23577Y-12415D01* -X23615Y-12406D01* -X23634Y-12397D01* -X23652Y-12378D01* -X23652Y-12340D01* -X23634Y-12322D01* -X23615Y-12312D01* -X23577Y-12303D01* -X23484Y-12303D01* -X23446Y-12312D01* -X23427Y-12322D01* -X23409Y-12340D01* -X23409Y-12378D01* -X23427Y-12397D01* -X23446Y-12406D01* -X23484Y-12415D01* -X24652Y-28203D02* -X24258Y-28203D01* -X24258Y-28128D01* -X24277Y-28109D01* -X24296Y-28100D01* -X24334Y-28091D01* -X24390Y-28091D01* -X24427Y-28100D01* -X24446Y-28109D01* -X24465Y-28128D01* -X24465Y-28203D01* -X24390Y-27922D02* -X24652Y-27922D01* -X24240Y-27969D02* -X24521Y-28015D01* -X24521Y-27894D01* -X23702Y-28203D02* -X23308Y-28203D01* -X23308Y-28128D01* -X23327Y-28109D01* -X23346Y-28100D01* -X23384Y-28091D01* -X23440Y-28091D01* -X23477Y-28100D01* -X23496Y-28109D01* -X23515Y-28128D01* -X23515Y-28203D01* -X23308Y-28025D02* -X23308Y-27903D01* -X23459Y-27969D01* -X23459Y-27940D01* -X23477Y-27922D01* -X23496Y-27912D01* -X23534Y-27903D01* -X23627Y-27903D01* -X23665Y-27912D01* -X23684Y-27922D01* -X23702Y-27940D01* -X23702Y-27997D01* -X23684Y-28015D01* -X23665Y-28025D01* -X22652Y-12603D02* -X22258Y-12603D01* -X22258Y-12528D01* -X22277Y-12509D01* -X22296Y-12500D01* -X22334Y-12491D01* -X22390Y-12491D01* -X22427Y-12500D01* -X22446Y-12509D01* -X22465Y-12528D01* -X22465Y-12603D01* -X22296Y-12415D02* -X22277Y-12406D01* -X22258Y-12387D01* -X22258Y-12340D01* -X22277Y-12322D01* -X22296Y-12312D01* -X22334Y-12303D01* -X22371Y-12303D01* -X22427Y-12312D01* -X22652Y-12425D01* -X22652Y-12303D01* -X22652Y-28203D02* -X22258Y-28203D01* -X22258Y-28128D01* -X22277Y-28109D01* -X22296Y-28100D01* -X22334Y-28091D01* -X22390Y-28091D01* -X22427Y-28100D01* -X22446Y-28109D01* -X22465Y-28128D01* -X22465Y-28203D01* -X22652Y-27903D02* -X22652Y-28015D01* -X22652Y-27959D02* -X22258Y-27959D01* -X22315Y-27978D01* -X22352Y-27997D01* -X22371Y-28015D01* -G54D20* -X14361Y-10139D02* -X14361Y-9939D01* -X14404Y-9939D01* -X14429Y-9948D01* -X14447Y-9968D01* -X14455Y-9987D01* -X14464Y-10025D01* -X14464Y-10053D01* -X14455Y-10091D01* -X14447Y-10110D01* -X14429Y-10129D01* -X14404Y-10139D01* -X14361Y-10139D01* -X14618Y-9939D02* -X14584Y-9939D01* -X14567Y-9948D01* -X14558Y-9958D01* -X14541Y-9987D01* -X14532Y-10025D01* -X14532Y-10101D01* -X14541Y-10120D01* -X14549Y-10129D01* -X14567Y-10139D01* -X14601Y-10139D01* -X14618Y-10129D01* -X14627Y-10120D01* -X14635Y-10101D01* -X14635Y-10053D01* -X14627Y-10034D01* -X14618Y-10025D01* -X14601Y-10015D01* -X14567Y-10015D01* -X14549Y-10025D01* -X14541Y-10034D01* -X14532Y-10053D01* -X16828Y-7670D02* -X16819Y-7679D01* -X16793Y-7689D01* -X16776Y-7689D01* -X16751Y-7679D01* -X16733Y-7660D01* -X16725Y-7641D01* -X16716Y-7603D01* -X16716Y-7575D01* -X16725Y-7537D01* -X16733Y-7518D01* -X16751Y-7498D01* -X16776Y-7489D01* -X16793Y-7489D01* -X16819Y-7498D01* -X16828Y-7508D01* -X16999Y-7689D02* -X16896Y-7689D01* -X16948Y-7689D02* -X16948Y-7489D01* -X16931Y-7518D01* -X16913Y-7537D01* -X16896Y-7546D01* -X17110Y-7489D02* -X17127Y-7489D01* -X17144Y-7498D01* -X17153Y-7508D01* -X17162Y-7527D01* -X17170Y-7565D01* -X17170Y-7613D01* -X17162Y-7651D01* -X17153Y-7670D01* -X17144Y-7679D01* -X17127Y-7689D01* -X17110Y-7689D01* -X17093Y-7679D01* -X17084Y-7670D01* -X17076Y-7651D01* -X17067Y-7613D01* -X17067Y-7565D01* -X17076Y-7527D01* -X17084Y-7508D01* -X17093Y-7498D01* -X17110Y-7489D01* -X23005Y-9887D02* -X23014Y-9896D01* -X23024Y-9922D01* -X23024Y-9939D01* -X23014Y-9964D01* -X22995Y-9982D01* -X22976Y-9990D01* -X22938Y-9999D01* -X22910Y-9999D01* -X22872Y-9990D01* -X22853Y-9982D01* -X22833Y-9964D01* -X22824Y-9939D01* -X22824Y-9922D01* -X22833Y-9896D01* -X22843Y-9887D01* -X23024Y-9802D02* -X23024Y-9767D01* -X23014Y-9750D01* -X23005Y-9742D01* -X22976Y-9724D01* -X22938Y-9716D01* -X22862Y-9716D01* -X22843Y-9724D01* -X22833Y-9733D01* -X22824Y-9750D01* -X22824Y-9784D01* -X22833Y-9802D01* -X22843Y-9810D01* -X22862Y-9819D01* -X22910Y-9819D01* -X22929Y-9810D01* -X22938Y-9802D01* -X22948Y-9784D01* -X22948Y-9750D01* -X22938Y-9733D01* -X22929Y-9724D01* -X22910Y-9716D01* -X21755Y-9137D02* -X21764Y-9146D01* -X21774Y-9172D01* -X21774Y-9189D01* -X21764Y-9214D01* -X21745Y-9232D01* -X21726Y-9240D01* -X21688Y-9249D01* -X21660Y-9249D01* -X21622Y-9240D01* -X21603Y-9232D01* -X21583Y-9214D01* -X21574Y-9189D01* -X21574Y-9172D01* -X21583Y-9146D01* -X21593Y-9137D01* -X21660Y-9034D02* -X21650Y-9052D01* -X21641Y-9060D01* -X21622Y-9069D01* -X21612Y-9069D01* -X21593Y-9060D01* -X21583Y-9052D01* -X21574Y-9034D01* -X21574Y-9000D01* -X21583Y-8983D01* -X21593Y-8974D01* -X21612Y-8966D01* -X21622Y-8966D01* -X21641Y-8974D01* -X21650Y-8983D01* -X21660Y-9000D01* -X21660Y-9034D01* -X21669Y-9052D01* -X21679Y-9060D01* -X21698Y-9069D01* -X21736Y-9069D01* -X21755Y-9060D01* -X21764Y-9052D01* -X21774Y-9034D01* -X21774Y-9000D01* -X21764Y-8983D01* -X21755Y-8974D01* -X21736Y-8966D01* -X21698Y-8966D01* -X21679Y-8974D01* -X21669Y-8983D01* -X21660Y-9000D01* -X14105Y-22487D02* -X14114Y-22496D01* -X14124Y-22522D01* -X14124Y-22539D01* -X14114Y-22564D01* -X14095Y-22582D01* -X14076Y-22590D01* -X14038Y-22599D01* -X14010Y-22599D01* -X13972Y-22590D01* -X13953Y-22582D01* -X13933Y-22564D01* -X13924Y-22539D01* -X13924Y-22522D01* -X13933Y-22496D01* -X13943Y-22487D01* -X13924Y-22324D02* -X13924Y-22410D01* -X14019Y-22419D01* -X14010Y-22410D01* -X14000Y-22393D01* -X14000Y-22350D01* -X14010Y-22333D01* -X14019Y-22324D01* -X14038Y-22316D01* -X14086Y-22316D01* -X14105Y-22324D01* -X14114Y-22333D01* -X14124Y-22350D01* -X14124Y-22393D01* -X14114Y-22410D01* -X14105Y-22419D01* -X14105Y-21087D02* -X14114Y-21096D01* -X14124Y-21122D01* -X14124Y-21139D01* -X14114Y-21164D01* -X14095Y-21182D01* -X14076Y-21190D01* -X14038Y-21199D01* -X14010Y-21199D01* -X13972Y-21190D01* -X13953Y-21182D01* -X13933Y-21164D01* -X13924Y-21139D01* -X13924Y-21122D01* -X13933Y-21096D01* -X13943Y-21087D01* -X13991Y-20933D02* -X14124Y-20933D01* -X13914Y-20976D02* -X14057Y-21019D01* -X14057Y-20907D01* -X24105Y-19587D02* -X24114Y-19596D01* -X24124Y-19622D01* -X24124Y-19639D01* -X24114Y-19664D01* -X24095Y-19682D01* -X24076Y-19690D01* -X24038Y-19699D01* -X24010Y-19699D01* -X23972Y-19690D01* -X23953Y-19682D01* -X23933Y-19664D01* -X23924Y-19639D01* -X23924Y-19622D01* -X23933Y-19596D01* -X23943Y-19587D01* -X23924Y-19527D02* -X23924Y-19416D01* -X24000Y-19476D01* -X24000Y-19450D01* -X24010Y-19433D01* -X24019Y-19424D01* -X24038Y-19416D01* -X24086Y-19416D01* -X24105Y-19424D01* -X24114Y-19433D01* -X24124Y-19450D01* -X24124Y-19502D01* -X24114Y-19519D01* -X24105Y-19527D01* -X24564Y-21320D02* -X24555Y-21329D01* -X24529Y-21339D01* -X24512Y-21339D01* -X24487Y-21329D01* -X24469Y-21310D01* -X24461Y-21291D01* -X24452Y-21253D01* -X24452Y-21225D01* -X24461Y-21187D01* -X24469Y-21168D01* -X24487Y-21148D01* -X24512Y-21139D01* -X24529Y-21139D01* -X24555Y-21148D01* -X24564Y-21158D01* -X24632Y-21158D02* -X24641Y-21148D01* -X24658Y-21139D01* -X24701Y-21139D01* -X24718Y-21148D01* -X24727Y-21158D01* -X24735Y-21177D01* -X24735Y-21196D01* -X24727Y-21225D01* -X24624Y-21339D01* -X24735Y-21339D01* -X14361Y-9439D02* -X14361Y-9239D01* -X14404Y-9239D01* -X14429Y-9248D01* -X14447Y-9268D01* -X14455Y-9287D01* -X14464Y-9325D01* -X14464Y-9353D01* -X14455Y-9391D01* -X14447Y-9410D01* -X14429Y-9429D01* -X14404Y-9439D01* -X14361Y-9439D01* -X14524Y-9239D02* -X14644Y-9239D01* -X14567Y-9439D01* -X17178Y-9439D02* -X17118Y-9344D01* -X17075Y-9439D02* -X17075Y-9239D01* -X17143Y-9239D01* -X17161Y-9248D01* -X17169Y-9258D01* -X17178Y-9277D01* -X17178Y-9306D01* -X17169Y-9325D01* -X17161Y-9334D01* -X17143Y-9344D01* -X17075Y-9344D01* -X17349Y-9439D02* -X17246Y-9439D01* -X17298Y-9439D02* -X17298Y-9239D01* -X17281Y-9268D01* -X17263Y-9287D01* -X17246Y-9296D01* -X17520Y-9439D02* -X17417Y-9439D01* -X17469Y-9439D02* -X17469Y-9239D01* -X17452Y-9268D01* -X17434Y-9287D01* -X17417Y-9296D01* -X17514Y-4889D02* -X17454Y-4794D01* -X17411Y-4889D02* -X17411Y-4689D01* -X17479Y-4689D01* -X17497Y-4698D01* -X17505Y-4708D01* -X17514Y-4727D01* -X17514Y-4756D01* -X17505Y-4775D01* -X17497Y-4784D01* -X17479Y-4794D01* -X17411Y-4794D01* -X17599Y-4889D02* -X17634Y-4889D01* -X17651Y-4879D01* -X17659Y-4870D01* -X17677Y-4841D01* -X17685Y-4803D01* -X17685Y-4727D01* -X17677Y-4708D01* -X17668Y-4698D01* -X17651Y-4689D01* -X17617Y-4689D01* -X17599Y-4698D01* -X17591Y-4708D01* -X17582Y-4727D01* -X17582Y-4775D01* -X17591Y-4794D01* -X17599Y-4803D01* -X17617Y-4813D01* -X17651Y-4813D01* -X17668Y-4803D01* -X17677Y-4794D01* -X17685Y-4775D01* -X18114Y-6839D02* -X18054Y-6744D01* -X18011Y-6839D02* -X18011Y-6639D01* -X18079Y-6639D01* -X18097Y-6648D01* -X18105Y-6658D01* -X18114Y-6677D01* -X18114Y-6706D01* -X18105Y-6725D01* -X18097Y-6734D01* -X18079Y-6744D01* -X18011Y-6744D01* -X18217Y-6725D02* -X18199Y-6715D01* -X18191Y-6706D01* -X18182Y-6687D01* -X18182Y-6677D01* -X18191Y-6658D01* -X18199Y-6648D01* -X18217Y-6639D01* -X18251Y-6639D01* -X18268Y-6648D01* -X18277Y-6658D01* -X18285Y-6677D01* -X18285Y-6687D01* -X18277Y-6706D01* -X18268Y-6715D01* -X18251Y-6725D01* -X18217Y-6725D01* -X18199Y-6734D01* -X18191Y-6744D01* -X18182Y-6763D01* -X18182Y-6801D01* -X18191Y-6820D01* -X18199Y-6829D01* -X18217Y-6839D01* -X18251Y-6839D01* -X18268Y-6829D01* -X18277Y-6820D01* -X18285Y-6801D01* -X18285Y-6763D01* -X18277Y-6744D01* -X18268Y-6734D01* -X18251Y-6725D01* -X17664Y-27320D02* -X17655Y-27329D01* -X17629Y-27339D01* -X17612Y-27339D01* -X17587Y-27329D01* -X17569Y-27310D01* -X17561Y-27291D01* -X17552Y-27253D01* -X17552Y-27225D01* -X17561Y-27187D01* -X17569Y-27168D01* -X17587Y-27148D01* -X17612Y-27139D01* -X17629Y-27139D01* -X17655Y-27148D01* -X17664Y-27158D01* -X17835Y-27339D02* -X17732Y-27339D01* -X17784Y-27339D02* -X17784Y-27139D01* -X17767Y-27168D01* -X17749Y-27187D01* -X17732Y-27196D01* -X15764Y-12889D02* -X15704Y-12794D01* -X15661Y-12889D02* -X15661Y-12689D01* -X15729Y-12689D01* -X15747Y-12698D01* -X15755Y-12708D01* -X15764Y-12727D01* -X15764Y-12756D01* -X15755Y-12775D01* -X15747Y-12784D01* -X15729Y-12794D01* -X15661Y-12794D01* -X15935Y-12889D02* -X15832Y-12889D01* -X15884Y-12889D02* -X15884Y-12689D01* -X15867Y-12718D01* -X15849Y-12737D01* -X15832Y-12746D01* -X17178Y-10189D02* -X17118Y-10094D01* -X17075Y-10189D02* -X17075Y-9989D01* -X17143Y-9989D01* -X17161Y-9998D01* -X17169Y-10008D01* -X17178Y-10027D01* -X17178Y-10056D01* -X17169Y-10075D01* -X17161Y-10084D01* -X17143Y-10094D01* -X17075Y-10094D01* -X17349Y-10189D02* -X17246Y-10189D01* -X17298Y-10189D02* -X17298Y-9989D01* -X17281Y-10018D01* -X17263Y-10037D01* -X17246Y-10046D01* -X17460Y-9989D02* -X17477Y-9989D01* -X17494Y-9998D01* -X17503Y-10008D01* -X17512Y-10027D01* -X17520Y-10065D01* -X17520Y-10113D01* -X17512Y-10151D01* -X17503Y-10170D01* -X17494Y-10179D01* -X17477Y-10189D01* -X17460Y-10189D01* -X17443Y-10179D01* -X17434Y-10170D01* -X17426Y-10151D01* -X17417Y-10113D01* -X17417Y-10065D01* -X17426Y-10027D01* -X17434Y-10008D01* -X17443Y-9998D01* -X17460Y-9989D01* -G54D14* -X20802Y-5759D02* -X20683Y-5759D01* -X20683Y-5509D01* -X21015Y-5759D02* -X20873Y-5759D01* -X20944Y-5759D02* -X20944Y-5509D01* -X20920Y-5545D01* -X20896Y-5569D01* -X20873Y-5581D01* -X18714Y-9437D02* -X18957Y-9437D01* -X18986Y-9422D01* -X19000Y-9408D01* -X19014Y-9379D01* -X19014Y-9322D01* -X19000Y-9294D01* -X18986Y-9279D01* -X18957Y-9265D01* -X18714Y-9265D01* -X19014Y-8965D02* -X19014Y-9137D01* -X19014Y-9051D02* -X18714Y-9051D01* -X18757Y-9080D01* -X18786Y-9108D01* -X18800Y-9137D01* -X19907Y-10030D02* -X19907Y-10130D01* -X20064Y-10130D02* -X19764Y-10130D01* -X19764Y-9987D01* -X19764Y-9915D02* -X19764Y-9744D01* -X20064Y-9830D02* -X19764Y-9830D01* -X19793Y-9658D02* -X19779Y-9644D01* -X19764Y-9615D01* -X19764Y-9544D01* -X19779Y-9515D01* -X19793Y-9501D01* -X19822Y-9486D01* -X19850Y-9486D01* -X19893Y-9501D01* -X20064Y-9672D01* -X20064Y-9486D01* -X19764Y-9386D02* -X19764Y-9200D01* -X19879Y-9300D01* -X19879Y-9258D01* -X19893Y-9229D01* -X19907Y-9215D01* -X19936Y-9200D01* -X20007Y-9200D01* -X20036Y-9215D01* -X20050Y-9229D01* -X20064Y-9258D01* -X20064Y-9343D01* -X20050Y-9372D01* -X20036Y-9386D01* -X19793Y-9086D02* -X19779Y-9072D01* -X19764Y-9043D01* -X19764Y-8972D01* -X19779Y-8943D01* -X19793Y-8929D01* -X19822Y-8914D01* -X19850Y-8914D01* -X19893Y-8929D01* -X20064Y-9100D01* -X20064Y-8914D01* -X20064Y-8614D02* -X19922Y-8714D01* -X20064Y-8786D02* -X19764Y-8786D01* -X19764Y-8671D01* -X19779Y-8643D01* -X19793Y-8628D01* -X19822Y-8614D01* -X19864Y-8614D01* -X19893Y-8628D01* -X19907Y-8643D01* -X19922Y-8671D01* -X19922Y-8786D01* -X20064Y-8343D02* -X20064Y-8486D01* -X19764Y-8486D01* -G54D15* -X15636Y-29791D02* -X15655Y-29734D01* -X15655Y-29638D01* -X15636Y-29600D01* -X15617Y-29581D01* -X15579Y-29562D01* -X15541Y-29562D01* -X15503Y-29581D01* -X15483Y-29600D01* -X15464Y-29638D01* -X15445Y-29715D01* -X15426Y-29753D01* -X15407Y-29772D01* -X15369Y-29791D01* -X15331Y-29791D01* -X15293Y-29772D01* -X15274Y-29753D01* -X15255Y-29715D01* -X15255Y-29619D01* -X15274Y-29562D01* -X15255Y-29429D02* -X15655Y-29334D01* -X15369Y-29257D01* -X15655Y-29181D01* -X15255Y-29086D01* -X15655Y-28724D02* -X15655Y-28953D01* -X15655Y-28839D02* -X15255Y-28839D01* -X15312Y-28877D01* -X15350Y-28915D01* -X15369Y-28953D01* -G54D22* -X14879Y-6023D02* -X14879Y-6305D01* -X14870Y-6361D01* -X14851Y-6399D01* -X14823Y-6417D01* -X14804Y-6417D01* -X14973Y-6417D02* -X14973Y-6023D01* -X15048Y-6023D01* -X15067Y-6042D01* -X15076Y-6061D01* -X15085Y-6099D01* -X15085Y-6155D01* -X15076Y-6192D01* -X15067Y-6211D01* -X15048Y-6230D01* -X14973Y-6230D01* -X15161Y-6061D02* -X15170Y-6042D01* -X15189Y-6023D01* -X15236Y-6023D01* -X15254Y-6042D01* -X15264Y-6061D01* -X15273Y-6099D01* -X15273Y-6136D01* -X15264Y-6192D01* -X15151Y-6417D01* -X15273Y-6417D01* -G54D23* -X23143Y-34823D02* -X23143Y-35142D01* -X23162Y-35180D01* -X23180Y-35199D01* -X23218Y-35217D01* -X23293Y-35217D01* -X23330Y-35199D01* -X23349Y-35180D01* -X23368Y-35142D01* -X23368Y-34823D01* -X23537Y-34861D02* -X23556Y-34842D01* -X23593Y-34823D01* -X23687Y-34823D01* -X23725Y-34842D01* -X23743Y-34861D01* -X23762Y-34899D01* -X23762Y-34936D01* -X23743Y-34992D01* -X23518Y-35217D01* -X23762Y-35217D01* -G54D22* -X15758Y-21868D02* -X16152Y-21737D01* -X15758Y-21737D02* -X16152Y-21868D01* -X16152Y-21558D02* -X16152Y-21670D01* -X16152Y-21614D02* -X15758Y-21614D01* -X15815Y-21633D01* -X15852Y-21652D01* -X15871Y-21670D01* -G54D16* -X10819Y-9069D02* -X10810Y-9079D01* -X10782Y-9088D01* -X10763Y-9088D01* -X10735Y-9079D01* -X10716Y-9060D01* -X10707Y-9041D01* -X10698Y-9004D01* -X10698Y-8976D01* -X10707Y-8938D01* -X10716Y-8919D01* -X10735Y-8901D01* -X10763Y-8891D01* -X10782Y-8891D01* -X10810Y-8901D01* -X10819Y-8910D01* -X10885Y-8891D02* -X11016Y-8891D01* -X10932Y-9088D01* -X4025Y-32619D02* -X4016Y-32629D01* -X3988Y-32638D01* -X3969Y-32638D01* -X3941Y-32629D01* -X3922Y-32610D01* -X3913Y-32591D01* -X3904Y-32554D01* -X3904Y-32526D01* -X3913Y-32488D01* -X3922Y-32469D01* -X3941Y-32451D01* -X3969Y-32441D01* -X3988Y-32441D01* -X4016Y-32451D01* -X4025Y-32460D01* -X4213Y-32638D02* -X4101Y-32638D01* -X4157Y-32638D02* -X4157Y-32441D01* -X4138Y-32469D01* -X4119Y-32488D01* -X4101Y-32498D01* -X4401Y-32638D02* -X4289Y-32638D01* -X4345Y-32638D02* -X4345Y-32441D01* -X4326Y-32469D01* -X4307Y-32488D01* -X4289Y-32498D01* -X4119Y-35169D02* -X4110Y-35179D01* -X4082Y-35188D01* -X4063Y-35188D01* -X4035Y-35179D01* -X4016Y-35160D01* -X4007Y-35141D01* -X3998Y-35104D01* -X3998Y-35076D01* -X4007Y-35038D01* -X4016Y-35019D01* -X4035Y-35001D01* -X4063Y-34991D01* -X4082Y-34991D01* -X4110Y-35001D01* -X4119Y-35010D01* -X4288Y-34991D02* -X4251Y-34991D01* -X4232Y-35001D01* -X4223Y-35010D01* -X4204Y-35038D01* -X4195Y-35076D01* -X4195Y-35151D01* -X4204Y-35169D01* -X4213Y-35179D01* -X4232Y-35188D01* -X4270Y-35188D01* -X4288Y-35179D01* -X4298Y-35169D01* -X4307Y-35151D01* -X4307Y-35104D01* -X4298Y-35085D01* -X4288Y-35076D01* -X4270Y-35066D01* -X4232Y-35066D01* -X4213Y-35076D01* -X4204Y-35085D01* -X4195Y-35104D01* -G54D15* -X6116Y-28071D02* -X6116Y-27671D01* -X6269Y-27671D01* -X6307Y-27690D01* -X6326Y-27709D01* -X6345Y-27747D01* -X6345Y-27804D01* -X6326Y-27842D01* -X6307Y-27861D01* -X6269Y-27880D01* -X6116Y-27880D01* -X6726Y-28071D02* -X6497Y-28071D01* -X6611Y-28071D02* -X6611Y-27671D01* -X6573Y-27728D01* -X6535Y-27766D01* -X6497Y-27785D01* -X7107Y-28071D02* -X6878Y-28071D01* -X6992Y-28071D02* -X6992Y-27671D01* -X6954Y-27728D01* -X6916Y-27766D01* -X6878Y-27785D01* -G54D17* -X6372Y-2625D02* -X6372Y-2905D01* -X6353Y-2961D01* -X6316Y-2999D01* -X6260Y-3018D01* -X6222Y-3018D01* -X6764Y-3018D02* -X6540Y-3018D01* -X6652Y-3018D02* -X6652Y-2625D01* -X6615Y-2681D01* -X6577Y-2718D01* -X6540Y-2737D01* -G54D18* -X6007Y-2075D02* -X6007Y-2393D01* -X6025Y-2430D01* -X6044Y-2449D01* -X6081Y-2468D01* -X6156Y-2468D01* -X6194Y-2449D01* -X6212Y-2430D01* -X6231Y-2393D01* -X6231Y-2075D01* -X6400Y-2449D02* -X6456Y-2468D01* -X6550Y-2468D01* -X6587Y-2449D01* -X6606Y-2430D01* -X6624Y-2393D01* -X6624Y-2355D01* -X6606Y-2318D01* -X6587Y-2299D01* -X6550Y-2280D01* -X6475Y-2262D01* -X6437Y-2243D01* -X6419Y-2224D01* -X6400Y-2187D01* -X6400Y-2149D01* -X6419Y-2112D01* -X6437Y-2093D01* -X6475Y-2075D01* -X6568Y-2075D01* -X6624Y-2093D01* -X6924Y-2262D02* -X6980Y-2280D01* -X6998Y-2299D01* -X7017Y-2337D01* -X7017Y-2393D01* -X6998Y-2430D01* -X6980Y-2449D01* -X6942Y-2468D01* -X6793Y-2468D01* -X6793Y-2075D01* -X6924Y-2075D01* -X6961Y-2093D01* -X6980Y-2112D01* -X6998Y-2149D01* -X6998Y-2187D01* -X6980Y-2224D01* -X6961Y-2243D01* -X6924Y-2262D01* -X6793Y-2262D01* -G54D19* -X7715Y-33725D02* -X7558Y-33725D01* -X7558Y-33687D01* -X7566Y-33665D01* -X7580Y-33650D01* -X7595Y-33642D01* -X7625Y-33635D01* -X7648Y-33635D01* -X7678Y-33642D01* -X7693Y-33650D01* -X7708Y-33665D01* -X7715Y-33687D01* -X7715Y-33725D01* -X7573Y-33575D02* -X7566Y-33568D01* -X7558Y-33553D01* -X7558Y-33515D01* -X7566Y-33500D01* -X7573Y-33493D01* -X7588Y-33485D01* -X7603Y-33485D01* -X7625Y-33493D01* -X7715Y-33583D01* -X7715Y-33485D01* -G54D12* -X6024Y-36118D02* -X6024Y-35724D01* -X6174Y-35724D01* -X6211Y-35743D01* -X6230Y-35762D01* -X6249Y-35800D01* -X6249Y-35856D01* -X6230Y-35893D01* -X6211Y-35912D01* -X6174Y-35931D01* -X6024Y-35931D01* -X6624Y-36118D02* -X6399Y-36118D01* -X6512Y-36118D02* -X6512Y-35724D01* -X6474Y-35781D01* -X6437Y-35818D01* -X6399Y-35837D01* -X6868Y-35724D02* -X6905Y-35724D01* -X6943Y-35743D01* -X6962Y-35762D01* -X6980Y-35800D01* -X6999Y-35875D01* -X6999Y-35968D01* -X6980Y-36043D01* -X6962Y-36081D01* -X6943Y-36100D01* -X6905Y-36118D01* -X6868Y-36118D01* -X6830Y-36100D01* -X6812Y-36081D01* -X6793Y-36043D01* -X6774Y-35968D01* -X6774Y-35875D01* -X6793Y-35800D01* -X6812Y-35762D01* -X6830Y-35743D01* -X6868Y-35724D01* -G54D13* -X5231Y-39945D02* -X5202Y-39973D01* -X5116Y-40002D01* -X5059Y-40002D01* -X4974Y-39973D01* -X4916Y-39916D01* -X4888Y-39859D01* -X4859Y-39745D01* -X4859Y-39659D01* -X4888Y-39545D01* -X4916Y-39488D01* -X4974Y-39430D01* -X5059Y-39402D01* -X5116Y-39402D01* -X5202Y-39430D01* -X5231Y-39459D01* -X5602Y-39402D02* -X5716Y-39402D01* -X5774Y-39430D01* -X5831Y-39488D01* -X5859Y-39602D01* -X5859Y-39802D01* -X5831Y-39916D01* -X5774Y-39973D01* -X5716Y-40002D01* -X5602Y-40002D01* -X5545Y-39973D01* -X5488Y-39916D01* -X5459Y-39802D01* -X5459Y-39602D01* -X5488Y-39488D01* -X5545Y-39430D01* -X5602Y-39402D01* -X6117Y-40002D02* -X6117Y-39402D01* -X6460Y-40002D01* -X6460Y-39402D01* -X6746Y-40002D02* -X6746Y-39402D01* -X7089Y-40002D01* -X7089Y-39402D01* -X7232Y-40059D02* -X7689Y-40059D01* -X7803Y-39459D02* -X7832Y-39430D01* -X7889Y-39402D01* -X8032Y-39402D01* -X8089Y-39430D01* -X8118Y-39459D01* -X8146Y-39516D01* -X8146Y-39573D01* -X8118Y-39659D01* -X7775Y-40002D01* -X8146Y-40002D01* -G54D20* -X4120Y-10940D02* -X4120Y-10740D01* -X4163Y-10740D01* -X4188Y-10749D01* -X4206Y-10769D01* -X4214Y-10788D01* -X4223Y-10826D01* -X4223Y-10854D01* -X4214Y-10892D01* -X4206Y-10911D01* -X4188Y-10930D01* -X4163Y-10940D01* -X4120Y-10940D01* -X4394Y-10940D02* -X4291Y-10940D01* -X4343Y-10940D02* -X4343Y-10740D01* -X4326Y-10769D01* -X4308Y-10788D01* -X4291Y-10797D01* -X1473Y-10940D02* -X1413Y-10845D01* -X1370Y-10940D02* -X1370Y-10740D01* -X1438Y-10740D01* -X1456Y-10749D01* -X1464Y-10759D01* -X1473Y-10778D01* -X1473Y-10807D01* -X1464Y-10826D01* -X1456Y-10835D01* -X1438Y-10845D01* -X1370Y-10845D01* -X1541Y-10759D02* -X1550Y-10749D01* -X1567Y-10740D01* -X1610Y-10740D01* -X1627Y-10749D01* -X1636Y-10759D01* -X1644Y-10778D01* -X1644Y-10797D01* -X1636Y-10826D01* -X1533Y-10940D01* -X1644Y-10940D01* -X8214Y-31574D02* -X8223Y-31583D01* -X8233Y-31609D01* -X8233Y-31626D01* -X8223Y-31651D01* -X8204Y-31669D01* -X8185Y-31677D01* -X8147Y-31686D01* -X8119Y-31686D01* -X8081Y-31677D01* -X8062Y-31669D01* -X8042Y-31651D01* -X8033Y-31626D01* -X8033Y-31609D01* -X8042Y-31583D01* -X8052Y-31574D01* -X8233Y-31403D02* -X8233Y-31506D01* -X8233Y-31454D02* -X8033Y-31454D01* -X8062Y-31471D01* -X8081Y-31489D01* -X8090Y-31506D01* -X8052Y-31335D02* -X8042Y-31326D01* -X8033Y-31309D01* -X8033Y-31266D01* -X8042Y-31249D01* -X8052Y-31240D01* -X8071Y-31232D01* -X8090Y-31232D01* -X8119Y-31240D01* -X8233Y-31343D01* -X8233Y-31232D01* -G54D21* -X6745Y-20198D02* -X6145Y-20198D01* -X6688Y-19727D02* -X6716Y-19748D01* -X6745Y-19812D01* -X6745Y-19855D01* -X6716Y-19920D01* -X6659Y-19962D01* -X6602Y-19984D01* -X6488Y-20005D01* -X6402Y-20005D01* -X6288Y-19984D01* -X6231Y-19962D01* -X6173Y-19920D01* -X6145Y-19855D01* -X6145Y-19812D01* -X6173Y-19748D01* -X6202Y-19727D01* -X6745Y-19298D02* -X6745Y-19555D01* -X6745Y-19427D02* -X6145Y-19427D01* -X6231Y-19470D01* -X6288Y-19512D01* -X6316Y-19555D01* -G54D22* -X9338Y-21024D02* -X9338Y-21306D01* -X9329Y-21362D01* -X9310Y-21400D01* -X9282Y-21418D01* -X9263Y-21418D01* -X9432Y-21418D02* -X9432Y-21024D01* -X9507Y-21024D01* -X9526Y-21043D01* -X9535Y-21062D01* -X9544Y-21100D01* -X9544Y-21156D01* -X9535Y-21193D01* -X9526Y-21212D01* -X9507Y-21231D01* -X9432Y-21231D01* -X9732Y-21418D02* -X9620Y-21418D01* -X9676Y-21418D02* -X9676Y-21024D01* -X9657Y-21081D01* -X9638Y-21118D01* -X9620Y-21137D01* -X10738Y-6074D02* -X10738Y-6356D01* -X10729Y-6412D01* -X10710Y-6450D01* -X10682Y-6468D01* -X10663Y-6468D01* -X10832Y-6468D02* -X10832Y-6074D01* -X10907Y-6074D01* -X10926Y-6093D01* -X10935Y-6112D01* -X10944Y-6150D01* -X10944Y-6206D01* -X10935Y-6243D01* -X10926Y-6262D01* -X10907Y-6281D01* -X10832Y-6281D01* -X11010Y-6074D02* -X11132Y-6074D01* -X11066Y-6225D01* -X11095Y-6225D01* -X11113Y-6243D01* -X11123Y-6262D01* -X11132Y-6300D01* -X11132Y-6393D01* -X11123Y-6431D01* -X11113Y-6450D01* -X11095Y-6468D01* -X11038Y-6468D01* -X11020Y-6450D01* -X11010Y-6431D01* -X3611Y-24054D02* -X3217Y-24054D01* -X3611Y-23942D02* -X3386Y-24026D01* -X3217Y-23942D02* -X3443Y-24054D01* -X3611Y-23754D02* -X3611Y-23866D01* -X3611Y-23810D02* -X3217Y-23810D01* -X3274Y-23829D01* -X3311Y-23848D01* -X3330Y-23866D01* -X2661Y-24054D02* -X2267Y-24054D01* -X2661Y-23942D02* -X2436Y-24026D01* -X2267Y-23942D02* -X2493Y-24054D01* -X2305Y-23866D02* -X2286Y-23857D01* -X2267Y-23838D01* -X2267Y-23791D01* -X2286Y-23773D01* -X2305Y-23763D01* -X2343Y-23754D01* -X2380Y-23754D01* -X2436Y-23763D01* -X2661Y-23876D01* -X2661Y-23754D01* -X1711Y-24054D02* -X1317Y-24054D01* -X1711Y-23942D02* -X1486Y-24026D01* -X1317Y-23942D02* -X1543Y-24054D01* -X1317Y-23876D02* -X1317Y-23754D01* -X1468Y-23820D01* -X1468Y-23791D01* -X1486Y-23773D01* -X1505Y-23763D01* -X1543Y-23754D01* -X1636Y-23754D01* -X1674Y-23763D01* -X1693Y-23773D01* -X1711Y-23791D01* -X1711Y-23848D01* -X1693Y-23866D01* -X1674Y-23876D01* -X1661Y-19304D02* -X1267Y-19304D01* -X1267Y-19229D01* -X1286Y-19210D01* -X1305Y-19201D01* -X1343Y-19192D01* -X1399Y-19192D01* -X1436Y-19201D01* -X1455Y-19210D01* -X1474Y-19229D01* -X1474Y-19304D01* -X1661Y-19098D02* -X1661Y-19060D01* -X1643Y-19041D01* -X1624Y-19032D01* -X1568Y-19013D01* -X1493Y-19004D01* -X1343Y-19004D01* -X1305Y-19013D01* -X1286Y-19023D01* -X1267Y-19041D01* -X1267Y-19079D01* -X1286Y-19098D01* -X1305Y-19107D01* -X1343Y-19116D01* -X1436Y-19116D01* -X1474Y-19107D01* -X1493Y-19098D01* -X1511Y-19079D01* -X1511Y-19041D01* -X1493Y-19023D01* -X1474Y-19013D01* -X1436Y-19004D01* -X2661Y-19304D02* -X2267Y-19304D01* -X2267Y-19229D01* -X2286Y-19210D01* -X2305Y-19201D01* -X2343Y-19192D01* -X2399Y-19192D01* -X2436Y-19201D01* -X2455Y-19210D01* -X2474Y-19229D01* -X2474Y-19304D01* -X2267Y-19126D02* -X2267Y-18995D01* -X2661Y-19079D01* -X3711Y-19304D02* -X3317Y-19304D01* -X3317Y-19229D01* -X3336Y-19210D01* -X3355Y-19201D01* -X3393Y-19192D01* -X3449Y-19192D01* -X3486Y-19201D01* -X3505Y-19210D01* -X3524Y-19229D01* -X3524Y-19304D01* -X3317Y-19013D02* -X3317Y-19107D01* -X3505Y-19116D01* -X3486Y-19107D01* -X3468Y-19088D01* -X3468Y-19041D01* -X3486Y-19023D01* -X3505Y-19013D01* -X3543Y-19004D01* -X3636Y-19004D01* -X3674Y-19013D01* -X3693Y-19023D01* -X3711Y-19041D01* -X3711Y-19088D01* -X3693Y-19107D01* -X3674Y-19116D01* -X11661Y-12604D02* -X11267Y-12604D01* -X11267Y-12529D01* -X11286Y-12510D01* -X11305Y-12501D01* -X11343Y-12492D01* -X11399Y-12492D01* -X11436Y-12501D01* -X11455Y-12510D01* -X11474Y-12529D01* -X11474Y-12604D01* -X11436Y-12379D02* -X11418Y-12398D01* -X11399Y-12407D01* -X11361Y-12416D01* -X11343Y-12416D01* -X11305Y-12407D01* -X11286Y-12398D01* -X11267Y-12379D01* -X11267Y-12341D01* -X11286Y-12323D01* -X11305Y-12313D01* -X11343Y-12304D01* -X11361Y-12304D01* -X11399Y-12313D01* -X11418Y-12323D01* -X11436Y-12341D01* -X11436Y-12379D01* -X11455Y-12398D01* -X11474Y-12407D01* -X11511Y-12416D01* -X11586Y-12416D01* -X11624Y-12407D01* -X11643Y-12398D01* -X11661Y-12379D01* -X11661Y-12341D01* -X11643Y-12323D01* -X11624Y-12313D01* -X11586Y-12304D01* -X11511Y-12304D01* -X11474Y-12313D01* -X11455Y-12323D01* -X11436Y-12341D01* -X10661Y-12604D02* -X10267Y-12604D01* -X10267Y-12529D01* -X10286Y-12510D01* -X10305Y-12501D01* -X10343Y-12492D01* -X10399Y-12492D01* -X10436Y-12501D01* -X10455Y-12510D01* -X10474Y-12529D01* -X10474Y-12604D01* -X10267Y-12323D02* -X10267Y-12360D01* -X10286Y-12379D01* -X10305Y-12388D01* -X10361Y-12407D01* -X10436Y-12416D01* -X10586Y-12416D01* -X10624Y-12407D01* -X10643Y-12398D01* -X10661Y-12379D01* -X10661Y-12341D01* -X10643Y-12323D01* -X10624Y-12313D01* -X10586Y-12304D01* -X10493Y-12304D01* -X10455Y-12313D01* -X10436Y-12323D01* -X10418Y-12341D01* -X10418Y-12379D01* -X10436Y-12398D01* -X10455Y-12407D01* -X10493Y-12416D01* -X11661Y-28204D02* -X11267Y-28204D01* -X11267Y-28129D01* -X11286Y-28110D01* -X11305Y-28101D01* -X11343Y-28092D01* -X11399Y-28092D01* -X11436Y-28101D01* -X11455Y-28110D01* -X11474Y-28129D01* -X11474Y-28204D01* -X11399Y-27923D02* -X11661Y-27923D01* -X11249Y-27970D02* -X11530Y-28016D01* -X11530Y-27895D01* -X10711Y-28204D02* -X10317Y-28204D01* -X10317Y-28129D01* -X10336Y-28110D01* -X10355Y-28101D01* -X10393Y-28092D01* -X10449Y-28092D01* -X10486Y-28101D01* -X10505Y-28110D01* -X10524Y-28129D01* -X10524Y-28204D01* -X10317Y-28026D02* -X10317Y-27904D01* -X10468Y-27970D01* -X10468Y-27941D01* -X10486Y-27923D01* -X10505Y-27913D01* -X10543Y-27904D01* -X10636Y-27904D01* -X10674Y-27913D01* -X10693Y-27923D01* -X10711Y-27941D01* -X10711Y-27998D01* -X10693Y-28016D01* -X10674Y-28026D01* -X9661Y-12604D02* -X9267Y-12604D01* -X9267Y-12529D01* -X9286Y-12510D01* -X9305Y-12501D01* -X9343Y-12492D01* -X9399Y-12492D01* -X9436Y-12501D01* -X9455Y-12510D01* -X9474Y-12529D01* -X9474Y-12604D01* -X9305Y-12416D02* -X9286Y-12407D01* -X9267Y-12388D01* -X9267Y-12341D01* -X9286Y-12323D01* -X9305Y-12313D01* -X9343Y-12304D01* -X9380Y-12304D01* -X9436Y-12313D01* -X9661Y-12426D01* -X9661Y-12304D01* -X9661Y-28204D02* -X9267Y-28204D01* -X9267Y-28129D01* -X9286Y-28110D01* -X9305Y-28101D01* -X9343Y-28092D01* -X9399Y-28092D01* -X9436Y-28101D01* -X9455Y-28110D01* -X9474Y-28129D01* -X9474Y-28204D01* -X9661Y-27904D02* -X9661Y-28016D01* -X9661Y-27960D02* -X9267Y-27960D01* -X9324Y-27979D01* -X9361Y-27998D01* -X9380Y-28016D01* -G54D20* -X1370Y-10140D02* -X1370Y-9940D01* -X1413Y-9940D01* -X1438Y-9949D01* -X1456Y-9969D01* -X1464Y-9988D01* -X1473Y-10026D01* -X1473Y-10054D01* -X1464Y-10092D01* -X1456Y-10111D01* -X1438Y-10130D01* -X1413Y-10140D01* -X1370Y-10140D01* -X1627Y-9940D02* -X1593Y-9940D01* -X1576Y-9949D01* -X1567Y-9959D01* -X1550Y-9988D01* -X1541Y-10026D01* -X1541Y-10102D01* -X1550Y-10121D01* -X1558Y-10130D01* -X1576Y-10140D01* -X1610Y-10140D01* -X1627Y-10130D01* -X1636Y-10121D01* -X1644Y-10102D01* -X1644Y-10054D01* -X1636Y-10035D01* -X1627Y-10026D01* -X1610Y-10016D01* -X1576Y-10016D01* -X1558Y-10026D01* -X1550Y-10035D01* -X1541Y-10054D01* -X3837Y-7671D02* -X3828Y-7680D01* -X3802Y-7690D01* -X3785Y-7690D01* -X3760Y-7680D01* -X3742Y-7661D01* -X3734Y-7642D01* -X3725Y-7604D01* -X3725Y-7576D01* -X3734Y-7538D01* -X3742Y-7519D01* -X3760Y-7499D01* -X3785Y-7490D01* -X3802Y-7490D01* -X3828Y-7499D01* -X3837Y-7509D01* -X4008Y-7690D02* -X3905Y-7690D01* -X3957Y-7690D02* -X3957Y-7490D01* -X3940Y-7519D01* -X3922Y-7538D01* -X3905Y-7547D01* -X4119Y-7490D02* -X4136Y-7490D01* -X4153Y-7499D01* -X4162Y-7509D01* -X4171Y-7528D01* -X4179Y-7566D01* -X4179Y-7614D01* -X4171Y-7652D01* -X4162Y-7671D01* -X4153Y-7680D01* -X4136Y-7690D01* -X4119Y-7690D01* -X4102Y-7680D01* -X4093Y-7671D01* -X4085Y-7652D01* -X4076Y-7614D01* -X4076Y-7566D01* -X4085Y-7528D01* -X4093Y-7509D01* -X4102Y-7499D01* -X4119Y-7490D01* -X10014Y-9888D02* -X10023Y-9897D01* -X10033Y-9923D01* -X10033Y-9940D01* -X10023Y-9965D01* -X10004Y-9983D01* -X9985Y-9991D01* -X9947Y-10000D01* -X9919Y-10000D01* -X9881Y-9991D01* -X9862Y-9983D01* -X9842Y-9965D01* -X9833Y-9940D01* -X9833Y-9923D01* -X9842Y-9897D01* -X9852Y-9888D01* -X10033Y-9803D02* -X10033Y-9768D01* -X10023Y-9751D01* -X10014Y-9743D01* -X9985Y-9725D01* -X9947Y-9717D01* -X9871Y-9717D01* -X9852Y-9725D01* -X9842Y-9734D01* -X9833Y-9751D01* -X9833Y-9785D01* -X9842Y-9803D01* -X9852Y-9811D01* -X9871Y-9820D01* -X9919Y-9820D01* -X9938Y-9811D01* -X9947Y-9803D01* -X9957Y-9785D01* -X9957Y-9751D01* -X9947Y-9734D01* -X9938Y-9725D01* -X9919Y-9717D01* -X8764Y-9138D02* -X8773Y-9147D01* -X8783Y-9173D01* -X8783Y-9190D01* -X8773Y-9215D01* -X8754Y-9233D01* -X8735Y-9241D01* -X8697Y-9250D01* -X8669Y-9250D01* -X8631Y-9241D01* -X8612Y-9233D01* -X8592Y-9215D01* -X8583Y-9190D01* -X8583Y-9173D01* -X8592Y-9147D01* -X8602Y-9138D01* -X8669Y-9035D02* -X8659Y-9053D01* -X8650Y-9061D01* -X8631Y-9070D01* -X8621Y-9070D01* -X8602Y-9061D01* -X8592Y-9053D01* -X8583Y-9035D01* -X8583Y-9001D01* -X8592Y-8984D01* -X8602Y-8975D01* -X8621Y-8967D01* -X8631Y-8967D01* -X8650Y-8975D01* -X8659Y-8984D01* -X8669Y-9001D01* -X8669Y-9035D01* -X8678Y-9053D01* -X8688Y-9061D01* -X8707Y-9070D01* -X8745Y-9070D01* -X8764Y-9061D01* -X8773Y-9053D01* -X8783Y-9035D01* -X8783Y-9001D01* -X8773Y-8984D01* -X8764Y-8975D01* -X8745Y-8967D01* -X8707Y-8967D01* -X8688Y-8975D01* -X8678Y-8984D01* -X8669Y-9001D01* -X1114Y-22488D02* -X1123Y-22497D01* -X1133Y-22523D01* -X1133Y-22540D01* -X1123Y-22565D01* -X1104Y-22583D01* -X1085Y-22591D01* -X1047Y-22600D01* -X1019Y-22600D01* -X981Y-22591D01* -X962Y-22583D01* -X942Y-22565D01* -X933Y-22540D01* -X933Y-22523D01* -X942Y-22497D01* -X952Y-22488D01* -X933Y-22325D02* -X933Y-22411D01* -X1028Y-22420D01* -X1019Y-22411D01* -X1009Y-22394D01* -X1009Y-22351D01* -X1019Y-22334D01* -X1028Y-22325D01* -X1047Y-22317D01* -X1095Y-22317D01* -X1114Y-22325D01* -X1123Y-22334D01* -X1133Y-22351D01* -X1133Y-22394D01* -X1123Y-22411D01* -X1114Y-22420D01* -X1114Y-21088D02* -X1123Y-21097D01* -X1133Y-21123D01* -X1133Y-21140D01* -X1123Y-21165D01* -X1104Y-21183D01* -X1085Y-21191D01* -X1047Y-21200D01* -X1019Y-21200D01* -X981Y-21191D01* -X962Y-21183D01* -X942Y-21165D01* -X933Y-21140D01* -X933Y-21123D01* -X942Y-21097D01* -X952Y-21088D01* -X1000Y-20934D02* -X1133Y-20934D01* -X923Y-20977D02* -X1066Y-21020D01* -X1066Y-20908D01* -X11114Y-19588D02* -X11123Y-19597D01* -X11133Y-19623D01* -X11133Y-19640D01* -X11123Y-19665D01* -X11104Y-19683D01* -X11085Y-19691D01* -X11047Y-19700D01* -X11019Y-19700D01* -X10981Y-19691D01* -X10962Y-19683D01* -X10942Y-19665D01* -X10933Y-19640D01* -X10933Y-19623D01* -X10942Y-19597D01* -X10952Y-19588D01* -X10933Y-19528D02* -X10933Y-19417D01* -X11009Y-19477D01* -X11009Y-19451D01* -X11019Y-19434D01* -X11028Y-19425D01* -X11047Y-19417D01* -X11095Y-19417D01* -X11114Y-19425D01* -X11123Y-19434D01* -X11133Y-19451D01* -X11133Y-19503D01* -X11123Y-19520D01* -X11114Y-19528D01* -X11573Y-21321D02* -X11564Y-21330D01* -X11538Y-21340D01* -X11521Y-21340D01* -X11496Y-21330D01* -X11478Y-21311D01* -X11470Y-21292D01* -X11461Y-21254D01* -X11461Y-21226D01* -X11470Y-21188D01* -X11478Y-21169D01* -X11496Y-21149D01* -X11521Y-21140D01* -X11538Y-21140D01* -X11564Y-21149D01* -X11573Y-21159D01* -X11641Y-21159D02* -X11650Y-21149D01* -X11667Y-21140D01* -X11710Y-21140D01* -X11727Y-21149D01* -X11736Y-21159D01* -X11744Y-21178D01* -X11744Y-21197D01* -X11736Y-21226D01* -X11633Y-21340D01* -X11744Y-21340D01* -X1370Y-9440D02* -X1370Y-9240D01* -X1413Y-9240D01* -X1438Y-9249D01* -X1456Y-9269D01* -X1464Y-9288D01* -X1473Y-9326D01* -X1473Y-9354D01* -X1464Y-9392D01* -X1456Y-9411D01* -X1438Y-9430D01* -X1413Y-9440D01* -X1370Y-9440D01* -X1533Y-9240D02* -X1653Y-9240D01* -X1576Y-9440D01* -X4187Y-9440D02* -X4127Y-9345D01* -X4084Y-9440D02* -X4084Y-9240D01* -X4152Y-9240D01* -X4170Y-9249D01* -X4178Y-9259D01* -X4187Y-9278D01* -X4187Y-9307D01* -X4178Y-9326D01* -X4170Y-9335D01* -X4152Y-9345D01* -X4084Y-9345D01* -X4358Y-9440D02* -X4255Y-9440D01* -X4307Y-9440D02* -X4307Y-9240D01* -X4290Y-9269D01* -X4272Y-9288D01* -X4255Y-9297D01* -X4529Y-9440D02* -X4426Y-9440D01* -X4478Y-9440D02* -X4478Y-9240D01* -X4461Y-9269D01* -X4443Y-9288D01* -X4426Y-9297D01* -X4523Y-4890D02* -X4463Y-4795D01* -X4420Y-4890D02* -X4420Y-4690D01* -X4488Y-4690D01* -X4506Y-4699D01* -X4514Y-4709D01* -X4523Y-4728D01* -X4523Y-4757D01* -X4514Y-4776D01* -X4506Y-4785D01* -X4488Y-4795D01* -X4420Y-4795D01* -X4608Y-4890D02* -X4643Y-4890D01* -X4660Y-4880D01* -X4668Y-4871D01* -X4686Y-4842D01* -X4694Y-4804D01* -X4694Y-4728D01* -X4686Y-4709D01* -X4677Y-4699D01* -X4660Y-4690D01* -X4626Y-4690D01* -X4608Y-4699D01* -X4600Y-4709D01* -X4591Y-4728D01* -X4591Y-4776D01* -X4600Y-4795D01* -X4608Y-4804D01* -X4626Y-4814D01* -X4660Y-4814D01* -X4677Y-4804D01* -X4686Y-4795D01* -X4694Y-4776D01* -X5123Y-6840D02* -X5063Y-6745D01* -X5020Y-6840D02* -X5020Y-6640D01* -X5088Y-6640D01* -X5106Y-6649D01* -X5114Y-6659D01* -X5123Y-6678D01* -X5123Y-6707D01* -X5114Y-6726D01* -X5106Y-6735D01* -X5088Y-6745D01* -X5020Y-6745D01* -X5226Y-6726D02* -X5208Y-6716D01* -X5200Y-6707D01* -X5191Y-6688D01* -X5191Y-6678D01* -X5200Y-6659D01* -X5208Y-6649D01* -X5226Y-6640D01* -X5260Y-6640D01* -X5277Y-6649D01* -X5286Y-6659D01* -X5294Y-6678D01* -X5294Y-6688D01* -X5286Y-6707D01* -X5277Y-6716D01* -X5260Y-6726D01* -X5226Y-6726D01* -X5208Y-6735D01* -X5200Y-6745D01* -X5191Y-6764D01* -X5191Y-6802D01* -X5200Y-6821D01* -X5208Y-6830D01* -X5226Y-6840D01* -X5260Y-6840D01* -X5277Y-6830D01* -X5286Y-6821D01* -X5294Y-6802D01* -X5294Y-6764D01* -X5286Y-6745D01* -X5277Y-6735D01* -X5260Y-6726D01* -X4673Y-27321D02* -X4664Y-27330D01* -X4638Y-27340D01* -X4621Y-27340D01* -X4596Y-27330D01* -X4578Y-27311D01* -X4570Y-27292D01* -X4561Y-27254D01* -X4561Y-27226D01* -X4570Y-27188D01* -X4578Y-27169D01* -X4596Y-27149D01* -X4621Y-27140D01* -X4638Y-27140D01* -X4664Y-27149D01* -X4673Y-27159D01* -X4844Y-27340D02* -X4741Y-27340D01* -X4793Y-27340D02* -X4793Y-27140D01* -X4776Y-27169D01* -X4758Y-27188D01* -X4741Y-27197D01* -X2773Y-12890D02* -X2713Y-12795D01* -X2670Y-12890D02* -X2670Y-12690D01* -X2738Y-12690D01* -X2756Y-12699D01* -X2764Y-12709D01* -X2773Y-12728D01* -X2773Y-12757D01* -X2764Y-12776D01* -X2756Y-12785D01* -X2738Y-12795D01* -X2670Y-12795D01* -X2944Y-12890D02* -X2841Y-12890D01* -X2893Y-12890D02* -X2893Y-12690D01* -X2876Y-12719D01* -X2858Y-12738D01* -X2841Y-12747D01* -X4187Y-10190D02* -X4127Y-10095D01* -X4084Y-10190D02* -X4084Y-9990D01* -X4152Y-9990D01* -X4170Y-9999D01* -X4178Y-10009D01* -X4187Y-10028D01* -X4187Y-10057D01* -X4178Y-10076D01* -X4170Y-10085D01* -X4152Y-10095D01* -X4084Y-10095D01* -X4358Y-10190D02* -X4255Y-10190D01* -X4307Y-10190D02* -X4307Y-9990D01* -X4290Y-10019D01* -X4272Y-10038D01* -X4255Y-10047D01* -X4469Y-9990D02* -X4486Y-9990D01* -X4503Y-9999D01* -X4512Y-10009D01* -X4521Y-10028D01* -X4529Y-10066D01* -X4529Y-10114D01* -X4521Y-10152D01* -X4512Y-10171D01* -X4503Y-10180D01* -X4486Y-10190D01* -X4469Y-10190D01* -X4452Y-10180D01* -X4443Y-10171D01* -X4435Y-10152D01* -X4426Y-10114D01* -X4426Y-10066D01* -X4435Y-10028D01* -X4443Y-10009D01* -X4452Y-9999D01* -X4469Y-9990D01* -G54D14* -X7811Y-5760D02* -X7692Y-5760D01* -X7692Y-5510D01* -X8024Y-5760D02* -X7882Y-5760D01* -X7953Y-5760D02* -X7953Y-5510D01* -X7929Y-5546D01* -X7905Y-5570D01* -X7882Y-5582D01* -X5723Y-9438D02* -X5966Y-9438D01* -X5995Y-9423D01* -X6009Y-9409D01* -X6023Y-9380D01* -X6023Y-9323D01* -X6009Y-9295D01* -X5995Y-9280D01* -X5966Y-9266D01* -X5723Y-9266D01* -X6023Y-8966D02* -X6023Y-9138D01* -X6023Y-9052D02* -X5723Y-9052D01* -X5766Y-9081D01* -X5795Y-9109D01* -X5809Y-9138D01* -X6916Y-10031D02* -X6916Y-10131D01* -X7073Y-10131D02* -X6773Y-10131D01* -X6773Y-9988D01* -X6773Y-9916D02* -X6773Y-9745D01* -X7073Y-9831D02* -X6773Y-9831D01* -X6802Y-9659D02* -X6788Y-9645D01* -X6773Y-9616D01* -X6773Y-9545D01* -X6788Y-9516D01* -X6802Y-9502D01* -X6831Y-9487D01* -X6859Y-9487D01* -X6902Y-9502D01* -X7073Y-9673D01* -X7073Y-9487D01* -X6773Y-9387D02* -X6773Y-9201D01* -X6888Y-9301D01* -X6888Y-9259D01* -X6902Y-9230D01* -X6916Y-9216D01* -X6945Y-9201D01* -X7016Y-9201D01* -X7045Y-9216D01* -X7059Y-9230D01* -X7073Y-9259D01* -X7073Y-9344D01* -X7059Y-9373D01* -X7045Y-9387D01* -X6802Y-9087D02* -X6788Y-9073D01* -X6773Y-9044D01* -X6773Y-8973D01* -X6788Y-8944D01* -X6802Y-8930D01* -X6831Y-8915D01* -X6859Y-8915D01* -X6902Y-8930D01* -X7073Y-9101D01* -X7073Y-8915D01* -X7073Y-8615D02* -X6931Y-8715D01* -X7073Y-8787D02* -X6773Y-8787D01* -X6773Y-8672D01* -X6788Y-8644D01* -X6802Y-8629D01* -X6831Y-8615D01* -X6873Y-8615D01* -X6902Y-8629D01* -X6916Y-8644D01* -X6931Y-8672D01* -X6931Y-8787D01* -X7073Y-8344D02* -X7073Y-8487D01* -X6773Y-8487D01* -G54D15* -X2645Y-29792D02* -X2664Y-29735D01* -X2664Y-29639D01* -X2645Y-29601D01* -X2626Y-29582D01* -X2588Y-29563D01* -X2550Y-29563D01* -X2512Y-29582D01* -X2492Y-29601D01* -X2473Y-29639D01* -X2454Y-29716D01* -X2435Y-29754D01* -X2416Y-29773D01* -X2378Y-29792D01* -X2340Y-29792D01* -X2302Y-29773D01* -X2283Y-29754D01* -X2264Y-29716D01* -X2264Y-29620D01* -X2283Y-29563D01* -X2264Y-29430D02* -X2664Y-29335D01* -X2378Y-29258D01* -X2664Y-29182D01* -X2264Y-29087D01* -X2664Y-28725D02* -X2664Y-28954D01* -X2664Y-28840D02* -X2264Y-28840D01* -X2321Y-28878D01* -X2359Y-28916D01* -X2378Y-28954D01* -G54D22* -X1888Y-6024D02* -X1888Y-6306D01* -X1879Y-6362D01* -X1860Y-6400D01* -X1832Y-6418D01* -X1813Y-6418D01* -X1982Y-6418D02* -X1982Y-6024D01* -X2057Y-6024D01* -X2076Y-6043D01* -X2085Y-6062D01* -X2094Y-6100D01* -X2094Y-6156D01* -X2085Y-6193D01* -X2076Y-6212D01* -X2057Y-6231D01* -X1982Y-6231D01* -X2170Y-6062D02* -X2179Y-6043D01* -X2198Y-6024D01* -X2245Y-6024D01* -X2263Y-6043D01* -X2273Y-6062D01* -X2282Y-6100D01* -X2282Y-6137D01* -X2273Y-6193D01* -X2160Y-6418D01* -X2282Y-6418D01* -G54D23* -X10152Y-34824D02* -X10152Y-35143D01* -X10171Y-35181D01* -X10189Y-35200D01* -X10227Y-35218D01* -X10302Y-35218D01* -X10339Y-35200D01* -X10358Y-35181D01* -X10377Y-35143D01* -X10377Y-34824D01* -X10546Y-34862D02* -X10565Y-34843D01* -X10602Y-34824D01* -X10696Y-34824D01* -X10734Y-34843D01* -X10752Y-34862D01* -X10771Y-34900D01* -X10771Y-34937D01* -X10752Y-34993D01* -X10527Y-35218D01* -X10771Y-35218D01* -G54D22* -X2767Y-21869D02* -X3161Y-21738D01* -X2767Y-21738D02* -X3161Y-21869D01* -X3161Y-21559D02* -X3161Y-21671D01* -X3161Y-21615D02* -X2767Y-21615D01* -X2824Y-21634D01* -X2861Y-21653D01* -X2880Y-21671D01* -X29144Y-21869D02* -X29538Y-21738D01* -X29144Y-21738D02* -X29538Y-21869D01* -X29538Y-21559D02* -X29538Y-21671D01* -X29538Y-21615D02* -X29144Y-21615D01* -X29201Y-21634D01* -X29238Y-21653D01* -X29257Y-21671D01* -G54D23* -X36529Y-34824D02* -X36529Y-35143D01* -X36548Y-35181D01* -X36566Y-35200D01* -X36604Y-35218D01* -X36679Y-35218D01* -X36716Y-35200D01* -X36735Y-35181D01* -X36754Y-35143D01* -X36754Y-34824D01* -X36923Y-34862D02* -X36942Y-34843D01* -X36979Y-34824D01* -X37073Y-34824D01* -X37111Y-34843D01* -X37129Y-34862D01* -X37148Y-34900D01* -X37148Y-34937D01* -X37129Y-34993D01* -X36904Y-35218D01* -X37148Y-35218D01* -G54D22* -X28265Y-6024D02* -X28265Y-6306D01* -X28256Y-6362D01* -X28237Y-6400D01* -X28209Y-6418D01* -X28190Y-6418D01* -X28359Y-6418D02* -X28359Y-6024D01* -X28434Y-6024D01* -X28453Y-6043D01* -X28462Y-6062D01* -X28471Y-6100D01* -X28471Y-6156D01* -X28462Y-6193D01* -X28453Y-6212D01* -X28434Y-6231D01* -X28359Y-6231D01* -X28547Y-6062D02* -X28556Y-6043D01* -X28575Y-6024D01* -X28622Y-6024D01* -X28640Y-6043D01* -X28650Y-6062D01* -X28659Y-6100D01* -X28659Y-6137D01* -X28650Y-6193D01* -X28537Y-6418D01* -X28659Y-6418D01* -G54D15* -X29022Y-29792D02* -X29041Y-29735D01* -X29041Y-29639D01* -X29022Y-29601D01* -X29003Y-29582D01* -X28965Y-29563D01* -X28927Y-29563D01* -X28889Y-29582D01* -X28869Y-29601D01* -X28850Y-29639D01* -X28831Y-29716D01* -X28812Y-29754D01* -X28793Y-29773D01* -X28755Y-29792D01* -X28717Y-29792D01* -X28679Y-29773D01* -X28660Y-29754D01* -X28641Y-29716D01* -X28641Y-29620D01* -X28660Y-29563D01* -X28641Y-29430D02* -X29041Y-29335D01* -X28755Y-29258D01* -X29041Y-29182D01* -X28641Y-29087D01* -X29041Y-28725D02* -X29041Y-28954D01* -X29041Y-28840D02* -X28641Y-28840D01* -X28698Y-28878D01* -X28736Y-28916D01* -X28755Y-28954D01* -G54D14* -X32100Y-9438D02* -X32343Y-9438D01* -X32372Y-9423D01* -X32386Y-9409D01* -X32400Y-9380D01* -X32400Y-9323D01* -X32386Y-9295D01* -X32372Y-9280D01* -X32343Y-9266D01* -X32100Y-9266D01* -X32400Y-8966D02* -X32400Y-9138D01* -X32400Y-9052D02* -X32100Y-9052D01* -X32143Y-9081D01* -X32172Y-9109D01* -X32186Y-9138D01* -X33293Y-10031D02* -X33293Y-10131D01* -X33450Y-10131D02* -X33150Y-10131D01* -X33150Y-9988D01* -X33150Y-9916D02* -X33150Y-9745D01* -X33450Y-9831D02* -X33150Y-9831D01* -X33179Y-9659D02* -X33165Y-9645D01* -X33150Y-9616D01* -X33150Y-9545D01* -X33165Y-9516D01* -X33179Y-9502D01* -X33208Y-9487D01* -X33236Y-9487D01* -X33279Y-9502D01* -X33450Y-9673D01* -X33450Y-9487D01* -X33150Y-9387D02* -X33150Y-9201D01* -X33265Y-9301D01* -X33265Y-9259D01* -X33279Y-9230D01* -X33293Y-9216D01* -X33322Y-9201D01* -X33393Y-9201D01* -X33422Y-9216D01* -X33436Y-9230D01* -X33450Y-9259D01* -X33450Y-9344D01* -X33436Y-9373D01* -X33422Y-9387D01* -X33179Y-9087D02* -X33165Y-9073D01* -X33150Y-9044D01* -X33150Y-8973D01* -X33165Y-8944D01* -X33179Y-8930D01* -X33208Y-8915D01* -X33236Y-8915D01* -X33279Y-8930D01* -X33450Y-9101D01* -X33450Y-8915D01* -X33450Y-8615D02* -X33308Y-8715D01* -X33450Y-8787D02* -X33150Y-8787D01* -X33150Y-8672D01* -X33165Y-8644D01* -X33179Y-8629D01* -X33208Y-8615D01* -X33250Y-8615D01* -X33279Y-8629D01* -X33293Y-8644D01* -X33308Y-8672D01* -X33308Y-8787D01* -X33450Y-8344D02* -X33450Y-8487D01* -X33150Y-8487D01* -X34188Y-5760D02* -X34069Y-5760D01* -X34069Y-5510D01* -X34401Y-5760D02* -X34259Y-5760D01* -X34330Y-5760D02* -X34330Y-5510D01* -X34306Y-5546D01* -X34282Y-5570D01* -X34259Y-5582D01* -G54D20* -X30564Y-10190D02* -X30504Y-10095D01* -X30461Y-10190D02* -X30461Y-9990D01* -X30529Y-9990D01* -X30547Y-9999D01* -X30555Y-10009D01* -X30564Y-10028D01* -X30564Y-10057D01* -X30555Y-10076D01* -X30547Y-10085D01* -X30529Y-10095D01* -X30461Y-10095D01* -X30735Y-10190D02* -X30632Y-10190D01* -X30684Y-10190D02* -X30684Y-9990D01* -X30667Y-10019D01* -X30649Y-10038D01* -X30632Y-10047D01* -X30846Y-9990D02* -X30863Y-9990D01* -X30880Y-9999D01* -X30889Y-10009D01* -X30898Y-10028D01* -X30906Y-10066D01* -X30906Y-10114D01* -X30898Y-10152D01* -X30889Y-10171D01* -X30880Y-10180D01* -X30863Y-10190D01* -X30846Y-10190D01* -X30829Y-10180D01* -X30820Y-10171D01* -X30812Y-10152D01* -X30803Y-10114D01* -X30803Y-10066D01* -X30812Y-10028D01* -X30820Y-10009D01* -X30829Y-9999D01* -X30846Y-9990D01* -X29150Y-12890D02* -X29090Y-12795D01* -X29047Y-12890D02* -X29047Y-12690D01* -X29115Y-12690D01* -X29133Y-12699D01* -X29141Y-12709D01* -X29150Y-12728D01* -X29150Y-12757D01* -X29141Y-12776D01* -X29133Y-12785D01* -X29115Y-12795D01* -X29047Y-12795D01* -X29321Y-12890D02* -X29218Y-12890D01* -X29270Y-12890D02* -X29270Y-12690D01* -X29253Y-12719D01* -X29235Y-12738D01* -X29218Y-12747D01* -X31050Y-27321D02* -X31041Y-27330D01* -X31015Y-27340D01* -X30998Y-27340D01* -X30973Y-27330D01* -X30955Y-27311D01* -X30947Y-27292D01* -X30938Y-27254D01* -X30938Y-27226D01* -X30947Y-27188D01* -X30955Y-27169D01* -X30973Y-27149D01* -X30998Y-27140D01* -X31015Y-27140D01* -X31041Y-27149D01* -X31050Y-27159D01* -X31221Y-27340D02* -X31118Y-27340D01* -X31170Y-27340D02* -X31170Y-27140D01* -X31153Y-27169D01* -X31135Y-27188D01* -X31118Y-27197D01* -X31500Y-6840D02* -X31440Y-6745D01* -X31397Y-6840D02* -X31397Y-6640D01* -X31465Y-6640D01* -X31483Y-6649D01* -X31491Y-6659D01* -X31500Y-6678D01* -X31500Y-6707D01* -X31491Y-6726D01* -X31483Y-6735D01* -X31465Y-6745D01* -X31397Y-6745D01* -X31603Y-6726D02* -X31585Y-6716D01* -X31577Y-6707D01* -X31568Y-6688D01* -X31568Y-6678D01* -X31577Y-6659D01* -X31585Y-6649D01* -X31603Y-6640D01* -X31637Y-6640D01* -X31654Y-6649D01* -X31663Y-6659D01* -X31671Y-6678D01* -X31671Y-6688D01* -X31663Y-6707D01* -X31654Y-6716D01* -X31637Y-6726D01* -X31603Y-6726D01* -X31585Y-6735D01* -X31577Y-6745D01* -X31568Y-6764D01* -X31568Y-6802D01* -X31577Y-6821D01* -X31585Y-6830D01* -X31603Y-6840D01* -X31637Y-6840D01* -X31654Y-6830D01* -X31663Y-6821D01* -X31671Y-6802D01* -X31671Y-6764D01* -X31663Y-6745D01* -X31654Y-6735D01* -X31637Y-6726D01* -X30900Y-4890D02* -X30840Y-4795D01* -X30797Y-4890D02* -X30797Y-4690D01* -X30865Y-4690D01* -X30883Y-4699D01* -X30891Y-4709D01* -X30900Y-4728D01* -X30900Y-4757D01* -X30891Y-4776D01* -X30883Y-4785D01* -X30865Y-4795D01* -X30797Y-4795D01* -X30985Y-4890D02* -X31020Y-4890D01* -X31037Y-4880D01* -X31045Y-4871D01* -X31063Y-4842D01* -X31071Y-4804D01* -X31071Y-4728D01* -X31063Y-4709D01* -X31054Y-4699D01* -X31037Y-4690D01* -X31003Y-4690D01* -X30985Y-4699D01* -X30977Y-4709D01* -X30968Y-4728D01* -X30968Y-4776D01* -X30977Y-4795D01* -X30985Y-4804D01* -X31003Y-4814D01* -X31037Y-4814D01* -X31054Y-4804D01* -X31063Y-4795D01* -X31071Y-4776D01* -X30564Y-9440D02* -X30504Y-9345D01* -X30461Y-9440D02* -X30461Y-9240D01* -X30529Y-9240D01* -X30547Y-9249D01* -X30555Y-9259D01* -X30564Y-9278D01* -X30564Y-9307D01* -X30555Y-9326D01* -X30547Y-9335D01* -X30529Y-9345D01* -X30461Y-9345D01* -X30735Y-9440D02* -X30632Y-9440D01* -X30684Y-9440D02* -X30684Y-9240D01* -X30667Y-9269D01* -X30649Y-9288D01* -X30632Y-9297D01* -X30906Y-9440D02* -X30803Y-9440D01* -X30855Y-9440D02* -X30855Y-9240D01* -X30838Y-9269D01* -X30820Y-9288D01* -X30803Y-9297D01* -X27747Y-9440D02* -X27747Y-9240D01* -X27790Y-9240D01* -X27815Y-9249D01* -X27833Y-9269D01* -X27841Y-9288D01* -X27850Y-9326D01* -X27850Y-9354D01* -X27841Y-9392D01* -X27833Y-9411D01* -X27815Y-9430D01* -X27790Y-9440D01* -X27747Y-9440D01* -X27910Y-9240D02* -X28030Y-9240D01* -X27953Y-9440D01* -X37950Y-21321D02* -X37941Y-21330D01* -X37915Y-21340D01* -X37898Y-21340D01* -X37873Y-21330D01* -X37855Y-21311D01* -X37847Y-21292D01* -X37838Y-21254D01* -X37838Y-21226D01* -X37847Y-21188D01* -X37855Y-21169D01* -X37873Y-21149D01* -X37898Y-21140D01* -X37915Y-21140D01* -X37941Y-21149D01* -X37950Y-21159D01* -X38018Y-21159D02* -X38027Y-21149D01* -X38044Y-21140D01* -X38087Y-21140D01* -X38104Y-21149D01* -X38113Y-21159D01* -X38121Y-21178D01* -X38121Y-21197D01* -X38113Y-21226D01* -X38010Y-21340D01* -X38121Y-21340D01* -X37491Y-19588D02* -X37500Y-19597D01* -X37510Y-19623D01* -X37510Y-19640D01* -X37500Y-19665D01* -X37481Y-19683D01* -X37462Y-19691D01* -X37424Y-19700D01* -X37396Y-19700D01* -X37358Y-19691D01* -X37339Y-19683D01* -X37319Y-19665D01* -X37310Y-19640D01* -X37310Y-19623D01* -X37319Y-19597D01* -X37329Y-19588D01* -X37310Y-19528D02* -X37310Y-19417D01* -X37386Y-19477D01* -X37386Y-19451D01* -X37396Y-19434D01* -X37405Y-19425D01* -X37424Y-19417D01* -X37472Y-19417D01* -X37491Y-19425D01* -X37500Y-19434D01* -X37510Y-19451D01* -X37510Y-19503D01* -X37500Y-19520D01* -X37491Y-19528D01* -X27491Y-21088D02* -X27500Y-21097D01* -X27510Y-21123D01* -X27510Y-21140D01* -X27500Y-21165D01* -X27481Y-21183D01* -X27462Y-21191D01* -X27424Y-21200D01* -X27396Y-21200D01* -X27358Y-21191D01* -X27339Y-21183D01* -X27319Y-21165D01* -X27310Y-21140D01* -X27310Y-21123D01* -X27319Y-21097D01* -X27329Y-21088D01* -X27377Y-20934D02* -X27510Y-20934D01* -X27300Y-20977D02* -X27443Y-21020D01* -X27443Y-20908D01* -X27491Y-22488D02* -X27500Y-22497D01* -X27510Y-22523D01* -X27510Y-22540D01* -X27500Y-22565D01* -X27481Y-22583D01* -X27462Y-22591D01* -X27424Y-22600D01* -X27396Y-22600D01* -X27358Y-22591D01* -X27339Y-22583D01* -X27319Y-22565D01* -X27310Y-22540D01* -X27310Y-22523D01* -X27319Y-22497D01* -X27329Y-22488D01* -X27310Y-22325D02* -X27310Y-22411D01* -X27405Y-22420D01* -X27396Y-22411D01* -X27386Y-22394D01* -X27386Y-22351D01* -X27396Y-22334D01* -X27405Y-22325D01* -X27424Y-22317D01* -X27472Y-22317D01* -X27491Y-22325D01* -X27500Y-22334D01* -X27510Y-22351D01* -X27510Y-22394D01* -X27500Y-22411D01* -X27491Y-22420D01* -X35141Y-9138D02* -X35150Y-9147D01* -X35160Y-9173D01* -X35160Y-9190D01* -X35150Y-9215D01* -X35131Y-9233D01* -X35112Y-9241D01* -X35074Y-9250D01* -X35046Y-9250D01* -X35008Y-9241D01* -X34989Y-9233D01* -X34969Y-9215D01* -X34960Y-9190D01* -X34960Y-9173D01* -X34969Y-9147D01* -X34979Y-9138D01* -X35046Y-9035D02* -X35036Y-9053D01* -X35027Y-9061D01* -X35008Y-9070D01* -X34998Y-9070D01* -X34979Y-9061D01* -X34969Y-9053D01* -X34960Y-9035D01* -X34960Y-9001D01* -X34969Y-8984D01* -X34979Y-8975D01* -X34998Y-8967D01* -X35008Y-8967D01* -X35027Y-8975D01* -X35036Y-8984D01* -X35046Y-9001D01* -X35046Y-9035D01* -X35055Y-9053D01* -X35065Y-9061D01* -X35084Y-9070D01* -X35122Y-9070D01* -X35141Y-9061D01* -X35150Y-9053D01* -X35160Y-9035D01* -X35160Y-9001D01* -X35150Y-8984D01* -X35141Y-8975D01* -X35122Y-8967D01* -X35084Y-8967D01* -X35065Y-8975D01* -X35055Y-8984D01* -X35046Y-9001D01* -X36391Y-9888D02* -X36400Y-9897D01* -X36410Y-9923D01* -X36410Y-9940D01* -X36400Y-9965D01* -X36381Y-9983D01* -X36362Y-9991D01* -X36324Y-10000D01* -X36296Y-10000D01* -X36258Y-9991D01* -X36239Y-9983D01* -X36219Y-9965D01* -X36210Y-9940D01* -X36210Y-9923D01* -X36219Y-9897D01* -X36229Y-9888D01* -X36410Y-9803D02* -X36410Y-9768D01* -X36400Y-9751D01* -X36391Y-9743D01* -X36362Y-9725D01* -X36324Y-9717D01* -X36248Y-9717D01* -X36229Y-9725D01* -X36219Y-9734D01* -X36210Y-9751D01* -X36210Y-9785D01* -X36219Y-9803D01* -X36229Y-9811D01* -X36248Y-9820D01* -X36296Y-9820D01* -X36315Y-9811D01* -X36324Y-9803D01* -X36334Y-9785D01* -X36334Y-9751D01* -X36324Y-9734D01* -X36315Y-9725D01* -X36296Y-9717D01* -X30214Y-7671D02* -X30205Y-7680D01* -X30179Y-7690D01* -X30162Y-7690D01* -X30137Y-7680D01* -X30119Y-7661D01* -X30111Y-7642D01* -X30102Y-7604D01* -X30102Y-7576D01* -X30111Y-7538D01* -X30119Y-7519D01* -X30137Y-7499D01* -X30162Y-7490D01* -X30179Y-7490D01* -X30205Y-7499D01* -X30214Y-7509D01* -X30385Y-7690D02* -X30282Y-7690D01* -X30334Y-7690D02* -X30334Y-7490D01* -X30317Y-7519D01* -X30299Y-7538D01* -X30282Y-7547D01* -X30496Y-7490D02* -X30513Y-7490D01* -X30530Y-7499D01* -X30539Y-7509D01* -X30548Y-7528D01* -X30556Y-7566D01* -X30556Y-7614D01* -X30548Y-7652D01* -X30539Y-7671D01* -X30530Y-7680D01* -X30513Y-7690D01* -X30496Y-7690D01* -X30479Y-7680D01* -X30470Y-7671D01* -X30462Y-7652D01* -X30453Y-7614D01* -X30453Y-7566D01* -X30462Y-7528D01* -X30470Y-7509D01* -X30479Y-7499D01* -X30496Y-7490D01* -X27747Y-10140D02* -X27747Y-9940D01* -X27790Y-9940D01* -X27815Y-9949D01* -X27833Y-9969D01* -X27841Y-9988D01* -X27850Y-10026D01* -X27850Y-10054D01* -X27841Y-10092D01* -X27833Y-10111D01* -X27815Y-10130D01* -X27790Y-10140D01* -X27747Y-10140D01* -X28004Y-9940D02* -X27970Y-9940D01* -X27953Y-9949D01* -X27944Y-9959D01* -X27927Y-9988D01* -X27918Y-10026D01* -X27918Y-10102D01* -X27927Y-10121D01* -X27935Y-10130D01* -X27953Y-10140D01* -X27987Y-10140D01* -X28004Y-10130D01* -X28013Y-10121D01* -X28021Y-10102D01* -X28021Y-10054D01* -X28013Y-10035D01* -X28004Y-10026D01* -X27987Y-10016D01* -X27953Y-10016D01* -X27935Y-10026D01* -X27927Y-10035D01* -X27918Y-10054D01* -G54D22* -X36038Y-28204D02* -X35644Y-28204D01* -X35644Y-28129D01* -X35663Y-28110D01* -X35682Y-28101D01* -X35720Y-28092D01* -X35776Y-28092D01* -X35813Y-28101D01* -X35832Y-28110D01* -X35851Y-28129D01* -X35851Y-28204D01* -X36038Y-27904D02* -X36038Y-28016D01* -X36038Y-27960D02* -X35644Y-27960D01* -X35701Y-27979D01* -X35738Y-27998D01* -X35757Y-28016D01* -X36038Y-12604D02* -X35644Y-12604D01* -X35644Y-12529D01* -X35663Y-12510D01* -X35682Y-12501D01* -X35720Y-12492D01* -X35776Y-12492D01* -X35813Y-12501D01* -X35832Y-12510D01* -X35851Y-12529D01* -X35851Y-12604D01* -X35682Y-12416D02* -X35663Y-12407D01* -X35644Y-12388D01* -X35644Y-12341D01* -X35663Y-12323D01* -X35682Y-12313D01* -X35720Y-12304D01* -X35757Y-12304D01* -X35813Y-12313D01* -X36038Y-12426D01* -X36038Y-12304D01* -X37088Y-28204D02* -X36694Y-28204D01* -X36694Y-28129D01* -X36713Y-28110D01* -X36732Y-28101D01* -X36770Y-28092D01* -X36826Y-28092D01* -X36863Y-28101D01* -X36882Y-28110D01* -X36901Y-28129D01* -X36901Y-28204D01* -X36694Y-28026D02* -X36694Y-27904D01* -X36845Y-27970D01* -X36845Y-27941D01* -X36863Y-27923D01* -X36882Y-27913D01* -X36920Y-27904D01* -X37013Y-27904D01* -X37051Y-27913D01* -X37070Y-27923D01* -X37088Y-27941D01* -X37088Y-27998D01* -X37070Y-28016D01* -X37051Y-28026D01* -X38038Y-28204D02* -X37644Y-28204D01* -X37644Y-28129D01* -X37663Y-28110D01* -X37682Y-28101D01* -X37720Y-28092D01* -X37776Y-28092D01* -X37813Y-28101D01* -X37832Y-28110D01* -X37851Y-28129D01* -X37851Y-28204D01* -X37776Y-27923D02* -X38038Y-27923D01* -X37626Y-27970D02* -X37907Y-28016D01* -X37907Y-27895D01* -X37038Y-12604D02* -X36644Y-12604D01* -X36644Y-12529D01* -X36663Y-12510D01* -X36682Y-12501D01* -X36720Y-12492D01* -X36776Y-12492D01* -X36813Y-12501D01* -X36832Y-12510D01* -X36851Y-12529D01* -X36851Y-12604D01* -X36644Y-12323D02* -X36644Y-12360D01* -X36663Y-12379D01* -X36682Y-12388D01* -X36738Y-12407D01* -X36813Y-12416D01* -X36963Y-12416D01* -X37001Y-12407D01* -X37020Y-12398D01* -X37038Y-12379D01* -X37038Y-12341D01* -X37020Y-12323D01* -X37001Y-12313D01* -X36963Y-12304D01* -X36870Y-12304D01* -X36832Y-12313D01* -X36813Y-12323D01* -X36795Y-12341D01* -X36795Y-12379D01* -X36813Y-12398D01* -X36832Y-12407D01* -X36870Y-12416D01* -X38038Y-12604D02* -X37644Y-12604D01* -X37644Y-12529D01* -X37663Y-12510D01* -X37682Y-12501D01* -X37720Y-12492D01* -X37776Y-12492D01* -X37813Y-12501D01* -X37832Y-12510D01* -X37851Y-12529D01* -X37851Y-12604D01* -X37813Y-12379D02* -X37795Y-12398D01* -X37776Y-12407D01* -X37738Y-12416D01* -X37720Y-12416D01* -X37682Y-12407D01* -X37663Y-12398D01* -X37644Y-12379D01* -X37644Y-12341D01* -X37663Y-12323D01* -X37682Y-12313D01* -X37720Y-12304D01* -X37738Y-12304D01* -X37776Y-12313D01* -X37795Y-12323D01* -X37813Y-12341D01* -X37813Y-12379D01* -X37832Y-12398D01* -X37851Y-12407D01* -X37888Y-12416D01* -X37963Y-12416D01* -X38001Y-12407D01* -X38020Y-12398D01* -X38038Y-12379D01* -X38038Y-12341D01* -X38020Y-12323D01* -X38001Y-12313D01* -X37963Y-12304D01* -X37888Y-12304D01* -X37851Y-12313D01* -X37832Y-12323D01* -X37813Y-12341D01* -X30088Y-19304D02* -X29694Y-19304D01* -X29694Y-19229D01* -X29713Y-19210D01* -X29732Y-19201D01* -X29770Y-19192D01* -X29826Y-19192D01* -X29863Y-19201D01* -X29882Y-19210D01* -X29901Y-19229D01* -X29901Y-19304D01* -X29694Y-19013D02* -X29694Y-19107D01* -X29882Y-19116D01* -X29863Y-19107D01* -X29845Y-19088D01* -X29845Y-19041D01* -X29863Y-19023D01* -X29882Y-19013D01* -X29920Y-19004D01* -X30013Y-19004D01* -X30051Y-19013D01* -X30070Y-19023D01* -X30088Y-19041D01* -X30088Y-19088D01* -X30070Y-19107D01* -X30051Y-19116D01* -X29038Y-19304D02* -X28644Y-19304D01* -X28644Y-19229D01* -X28663Y-19210D01* -X28682Y-19201D01* -X28720Y-19192D01* -X28776Y-19192D01* -X28813Y-19201D01* -X28832Y-19210D01* -X28851Y-19229D01* -X28851Y-19304D01* -X28644Y-19126D02* -X28644Y-18995D01* -X29038Y-19079D01* -X28038Y-19304D02* -X27644Y-19304D01* -X27644Y-19229D01* -X27663Y-19210D01* -X27682Y-19201D01* -X27720Y-19192D01* -X27776Y-19192D01* -X27813Y-19201D01* -X27832Y-19210D01* -X27851Y-19229D01* -X27851Y-19304D01* -X28038Y-19098D02* -X28038Y-19060D01* -X28020Y-19041D01* -X28001Y-19032D01* -X27945Y-19013D01* -X27870Y-19004D01* -X27720Y-19004D01* -X27682Y-19013D01* -X27663Y-19023D01* -X27644Y-19041D01* -X27644Y-19079D01* -X27663Y-19098D01* -X27682Y-19107D01* -X27720Y-19116D01* -X27813Y-19116D01* -X27851Y-19107D01* -X27870Y-19098D01* -X27888Y-19079D01* -X27888Y-19041D01* -X27870Y-19023D01* -X27851Y-19013D01* -X27813Y-19004D01* -X28088Y-24054D02* -X27694Y-24054D01* -X28088Y-23942D02* -X27863Y-24026D01* -X27694Y-23942D02* -X27920Y-24054D01* -X27694Y-23876D02* -X27694Y-23754D01* -X27845Y-23820D01* -X27845Y-23791D01* -X27863Y-23773D01* -X27882Y-23763D01* -X27920Y-23754D01* -X28013Y-23754D01* -X28051Y-23763D01* -X28070Y-23773D01* -X28088Y-23791D01* -X28088Y-23848D01* -X28070Y-23866D01* -X28051Y-23876D01* -X29038Y-24054D02* -X28644Y-24054D01* -X29038Y-23942D02* -X28813Y-24026D01* -X28644Y-23942D02* -X28870Y-24054D01* -X28682Y-23866D02* -X28663Y-23857D01* -X28644Y-23838D01* -X28644Y-23791D01* -X28663Y-23773D01* -X28682Y-23763D01* -X28720Y-23754D01* -X28757Y-23754D01* -X28813Y-23763D01* -X29038Y-23876D01* -X29038Y-23754D01* -X29988Y-24054D02* -X29594Y-24054D01* -X29988Y-23942D02* -X29763Y-24026D01* -X29594Y-23942D02* -X29820Y-24054D01* -X29988Y-23754D02* -X29988Y-23866D01* -X29988Y-23810D02* -X29594Y-23810D01* -X29651Y-23829D01* -X29688Y-23848D01* -X29707Y-23866D01* -X37115Y-6074D02* -X37115Y-6356D01* -X37106Y-6412D01* -X37087Y-6450D01* -X37059Y-6468D01* -X37040Y-6468D01* -X37209Y-6468D02* -X37209Y-6074D01* -X37284Y-6074D01* -X37303Y-6093D01* -X37312Y-6112D01* -X37321Y-6150D01* -X37321Y-6206D01* -X37312Y-6243D01* -X37303Y-6262D01* -X37284Y-6281D01* -X37209Y-6281D01* -X37387Y-6074D02* -X37509Y-6074D01* -X37443Y-6225D01* -X37472Y-6225D01* -X37490Y-6243D01* -X37500Y-6262D01* -X37509Y-6300D01* -X37509Y-6393D01* -X37500Y-6431D01* -X37490Y-6450D01* -X37472Y-6468D01* -X37415Y-6468D01* -X37397Y-6450D01* -X37387Y-6431D01* -X35715Y-21024D02* -X35715Y-21306D01* -X35706Y-21362D01* -X35687Y-21400D01* -X35659Y-21418D01* -X35640Y-21418D01* -X35809Y-21418D02* -X35809Y-21024D01* -X35884Y-21024D01* -X35903Y-21043D01* -X35912Y-21062D01* -X35921Y-21100D01* -X35921Y-21156D01* -X35912Y-21193D01* -X35903Y-21212D01* -X35884Y-21231D01* -X35809Y-21231D01* -X36109Y-21418D02* -X35997Y-21418D01* -X36053Y-21418D02* -X36053Y-21024D01* -X36034Y-21081D01* -X36015Y-21118D01* -X35997Y-21137D01* -G54D21* -X33122Y-20198D02* -X32522Y-20198D01* -X33065Y-19727D02* -X33093Y-19748D01* -X33122Y-19812D01* -X33122Y-19855D01* -X33093Y-19920D01* -X33036Y-19962D01* -X32979Y-19984D01* -X32865Y-20005D01* -X32779Y-20005D01* -X32665Y-19984D01* -X32608Y-19962D01* -X32550Y-19920D01* -X32522Y-19855D01* -X32522Y-19812D01* -X32550Y-19748D01* -X32579Y-19727D01* -X33122Y-19298D02* -X33122Y-19555D01* -X33122Y-19427D02* -X32522Y-19427D01* -X32608Y-19470D01* -X32665Y-19512D01* -X32693Y-19555D01* -G54D20* -X34591Y-31574D02* -X34600Y-31583D01* -X34610Y-31609D01* -X34610Y-31626D01* -X34600Y-31651D01* -X34581Y-31669D01* -X34562Y-31677D01* -X34524Y-31686D01* -X34496Y-31686D01* -X34458Y-31677D01* -X34439Y-31669D01* -X34419Y-31651D01* -X34410Y-31626D01* -X34410Y-31609D01* -X34419Y-31583D01* -X34429Y-31574D01* -X34610Y-31403D02* -X34610Y-31506D01* -X34610Y-31454D02* -X34410Y-31454D01* -X34439Y-31471D01* -X34458Y-31489D01* -X34467Y-31506D01* -X34429Y-31335D02* -X34419Y-31326D01* -X34410Y-31309D01* -X34410Y-31266D01* -X34419Y-31249D01* -X34429Y-31240D01* -X34448Y-31232D01* -X34467Y-31232D01* -X34496Y-31240D01* -X34610Y-31343D01* -X34610Y-31232D01* -X27850Y-10940D02* -X27790Y-10845D01* -X27747Y-10940D02* -X27747Y-10740D01* -X27815Y-10740D01* -X27833Y-10749D01* -X27841Y-10759D01* -X27850Y-10778D01* -X27850Y-10807D01* -X27841Y-10826D01* -X27833Y-10835D01* -X27815Y-10845D01* -X27747Y-10845D01* -X27918Y-10759D02* -X27927Y-10749D01* -X27944Y-10740D01* -X27987Y-10740D01* -X28004Y-10749D01* -X28013Y-10759D01* -X28021Y-10778D01* -X28021Y-10797D01* -X28013Y-10826D01* -X27910Y-10940D01* -X28021Y-10940D01* -X30497Y-10940D02* -X30497Y-10740D01* -X30540Y-10740D01* -X30565Y-10749D01* -X30583Y-10769D01* -X30591Y-10788D01* -X30600Y-10826D01* -X30600Y-10854D01* -X30591Y-10892D01* -X30583Y-10911D01* -X30565Y-10930D01* -X30540Y-10940D01* -X30497Y-10940D01* -X30771Y-10940D02* -X30668Y-10940D01* -X30720Y-10940D02* -X30720Y-10740D01* -X30703Y-10769D01* -X30685Y-10788D01* -X30668Y-10797D01* -G54D12* -X32401Y-36118D02* -X32401Y-35724D01* -X32551Y-35724D01* -X32588Y-35743D01* -X32607Y-35762D01* -X32626Y-35800D01* -X32626Y-35856D01* -X32607Y-35893D01* -X32588Y-35912D01* -X32551Y-35931D01* -X32401Y-35931D01* -X33001Y-36118D02* -X32776Y-36118D01* -X32889Y-36118D02* -X32889Y-35724D01* -X32851Y-35781D01* -X32814Y-35818D01* -X32776Y-35837D01* -X33245Y-35724D02* -X33282Y-35724D01* -X33320Y-35743D01* -X33339Y-35762D01* -X33357Y-35800D01* -X33376Y-35875D01* -X33376Y-35968D01* -X33357Y-36043D01* -X33339Y-36081D01* -X33320Y-36100D01* -X33282Y-36118D01* -X33245Y-36118D01* -X33207Y-36100D01* -X33189Y-36081D01* -X33170Y-36043D01* -X33151Y-35968D01* -X33151Y-35875D01* -X33170Y-35800D01* -X33189Y-35762D01* -X33207Y-35743D01* -X33245Y-35724D01* -G54D13* -X31608Y-39945D02* -X31579Y-39973D01* -X31493Y-40002D01* -X31436Y-40002D01* -X31351Y-39973D01* -X31293Y-39916D01* -X31265Y-39859D01* -X31236Y-39745D01* -X31236Y-39659D01* -X31265Y-39545D01* -X31293Y-39488D01* -X31351Y-39430D01* -X31436Y-39402D01* -X31493Y-39402D01* -X31579Y-39430D01* -X31608Y-39459D01* -X31979Y-39402D02* -X32093Y-39402D01* -X32151Y-39430D01* -X32208Y-39488D01* -X32236Y-39602D01* -X32236Y-39802D01* -X32208Y-39916D01* -X32151Y-39973D01* -X32093Y-40002D01* -X31979Y-40002D01* -X31922Y-39973D01* -X31865Y-39916D01* -X31836Y-39802D01* -X31836Y-39602D01* -X31865Y-39488D01* -X31922Y-39430D01* -X31979Y-39402D01* -X32494Y-40002D02* -X32494Y-39402D01* -X32837Y-40002D01* -X32837Y-39402D01* -X33123Y-40002D02* -X33123Y-39402D01* -X33466Y-40002D01* -X33466Y-39402D01* -X33609Y-40059D02* -X34066Y-40059D01* -X34180Y-39459D02* -X34209Y-39430D01* -X34266Y-39402D01* -X34409Y-39402D01* -X34466Y-39430D01* -X34495Y-39459D01* -X34523Y-39516D01* -X34523Y-39573D01* -X34495Y-39659D01* -X34152Y-40002D01* -X34523Y-40002D01* -G54D19* -X34092Y-33725D02* -X33935Y-33725D01* -X33935Y-33687D01* -X33943Y-33665D01* -X33957Y-33650D01* -X33972Y-33642D01* -X34002Y-33635D01* -X34025Y-33635D01* -X34055Y-33642D01* -X34070Y-33650D01* -X34085Y-33665D01* -X34092Y-33687D01* -X34092Y-33725D01* -X33950Y-33575D02* -X33943Y-33568D01* -X33935Y-33553D01* -X33935Y-33515D01* -X33943Y-33500D01* -X33950Y-33493D01* -X33965Y-33485D01* -X33980Y-33485D01* -X34002Y-33493D01* -X34092Y-33583D01* -X34092Y-33485D01* -G54D17* -X32749Y-2625D02* -X32749Y-2905D01* -X32730Y-2961D01* -X32693Y-2999D01* -X32637Y-3018D01* -X32599Y-3018D01* -X33141Y-3018D02* -X32917Y-3018D01* -X33029Y-3018D02* -X33029Y-2625D01* -X32992Y-2681D01* -X32954Y-2718D01* -X32917Y-2737D01* -G54D18* -X32384Y-2075D02* -X32384Y-2393D01* -X32402Y-2430D01* -X32421Y-2449D01* -X32458Y-2468D01* -X32533Y-2468D01* -X32571Y-2449D01* -X32589Y-2430D01* -X32608Y-2393D01* -X32608Y-2075D01* -X32777Y-2449D02* -X32833Y-2468D01* -X32927Y-2468D01* -X32964Y-2449D01* -X32983Y-2430D01* -X33001Y-2393D01* -X33001Y-2355D01* -X32983Y-2318D01* -X32964Y-2299D01* -X32927Y-2280D01* -X32852Y-2262D01* -X32814Y-2243D01* -X32796Y-2224D01* -X32777Y-2187D01* -X32777Y-2149D01* -X32796Y-2112D01* -X32814Y-2093D01* -X32852Y-2075D01* -X32945Y-2075D01* -X33001Y-2093D01* -X33301Y-2262D02* -X33357Y-2280D01* -X33375Y-2299D01* -X33394Y-2337D01* -X33394Y-2393D01* -X33375Y-2430D01* -X33357Y-2449D01* -X33319Y-2468D01* -X33170Y-2468D01* -X33170Y-2075D01* -X33301Y-2075D01* -X33338Y-2093D01* -X33357Y-2112D01* -X33375Y-2149D01* -X33375Y-2187D01* -X33357Y-2224D01* -X33338Y-2243D01* -X33301Y-2262D01* -X33170Y-2262D01* -G54D15* -X32493Y-28071D02* -X32493Y-27671D01* -X32646Y-27671D01* -X32684Y-27690D01* -X32703Y-27709D01* -X32722Y-27747D01* -X32722Y-27804D01* -X32703Y-27842D01* -X32684Y-27861D01* -X32646Y-27880D01* -X32493Y-27880D01* -X33103Y-28071D02* -X32874Y-28071D01* -X32988Y-28071D02* -X32988Y-27671D01* -X32950Y-27728D01* -X32912Y-27766D01* -X32874Y-27785D01* -X33484Y-28071D02* -X33255Y-28071D01* -X33369Y-28071D02* -X33369Y-27671D01* -X33331Y-27728D01* -X33293Y-27766D01* -X33255Y-27785D01* -G54D16* -X30496Y-35169D02* -X30487Y-35179D01* -X30459Y-35188D01* -X30440Y-35188D01* -X30412Y-35179D01* -X30393Y-35160D01* -X30384Y-35141D01* -X30375Y-35104D01* -X30375Y-35076D01* -X30384Y-35038D01* -X30393Y-35019D01* -X30412Y-35001D01* -X30440Y-34991D01* -X30459Y-34991D01* -X30487Y-35001D01* -X30496Y-35010D01* -X30665Y-34991D02* -X30628Y-34991D01* -X30609Y-35001D01* -X30600Y-35010D01* -X30581Y-35038D01* -X30572Y-35076D01* -X30572Y-35151D01* -X30581Y-35169D01* -X30590Y-35179D01* -X30609Y-35188D01* -X30647Y-35188D01* -X30665Y-35179D01* -X30675Y-35169D01* -X30684Y-35151D01* -X30684Y-35104D01* -X30675Y-35085D01* -X30665Y-35076D01* -X30647Y-35066D01* -X30609Y-35066D01* -X30590Y-35076D01* -X30581Y-35085D01* -X30572Y-35104D01* -X30402Y-32619D02* -X30393Y-32629D01* -X30365Y-32638D01* -X30346Y-32638D01* -X30318Y-32629D01* -X30299Y-32610D01* -X30290Y-32591D01* -X30281Y-32554D01* -X30281Y-32526D01* -X30290Y-32488D01* -X30299Y-32469D01* -X30318Y-32451D01* -X30346Y-32441D01* -X30365Y-32441D01* -X30393Y-32451D01* -X30402Y-32460D01* -X30590Y-32638D02* -X30478Y-32638D01* -X30534Y-32638D02* -X30534Y-32441D01* -X30515Y-32469D01* -X30496Y-32488D01* -X30478Y-32498D01* -X30778Y-32638D02* -X30666Y-32638D01* -X30722Y-32638D02* -X30722Y-32441D01* -X30703Y-32469D01* -X30684Y-32488D01* -X30666Y-32498D01* -X37196Y-9069D02* -X37187Y-9079D01* -X37159Y-9088D01* -X37140Y-9088D01* -X37112Y-9079D01* -X37093Y-9060D01* -X37084Y-9041D01* -X37075Y-9004D01* -X37075Y-8976D01* -X37084Y-8938D01* -X37093Y-8919D01* -X37112Y-8901D01* -X37140Y-8891D01* -X37159Y-8891D01* -X37187Y-8901D01* -X37196Y-8910D01* -X37262Y-8891D02* -X37393Y-8891D01* -X37309Y-9088D01* -G54D10* -G36* -X35079Y-28971D02* -X34726Y-28759D01* -X35079Y-28547D01* -X35079Y-28971D01* -X35079Y-28971D01* -G37* -G54D24* -X35079Y-28971D02* -X34726Y-28759D01* -X35079Y-28547D01* -X35079Y-28971D01* -G54D10* -G36* -X8702Y-28971D02* -X8349Y-28759D01* -X8702Y-28547D01* -X8702Y-28971D01* -X8702Y-28971D01* -G37* -G54D24* -X8702Y-28971D02* -X8349Y-28759D01* -X8702Y-28547D01* -X8702Y-28971D01* -G54D10* -G36* -X21693Y-28970D02* -X21340Y-28758D01* -X21693Y-28546D01* -X21693Y-28970D01* -X21693Y-28970D01* -G37* -G54D24* -X21693Y-28970D02* -X21340Y-28758D01* -X21693Y-28546D01* -X21693Y-28970D01* -%LPC*% -G54D25* -X23502Y-8108D03* -X22084Y-8108D03* -G54D26* -X19359Y-31758D03* -X17627Y-31758D03* -X19359Y-34258D03* -X17627Y-34258D03* -G54D27* -X20493Y-28758D03* -G54D28* -X20493Y-29758D03* -X19493Y-28758D03* -X19493Y-29758D03* -X18493Y-28758D03* -X18493Y-29758D03* -G54D29* -X17749Y-3683D03* -G54D30* -X20122Y-3793D03* -X19807Y-3793D03* -X19493Y-3793D03* -X19179Y-3793D03* -X18864Y-3793D03* -G54D31* -X20358Y-2798D03* -X18627Y-2798D03* -G54D29* -X21237Y-3683D03* -X21237Y-1518D03* -X17749Y-1518D03* -G54D32* -X23493Y-37258D03* -X15493Y-37258D03* -X23493Y-2258D03* -X15493Y-2258D03* -G54D33* -X21143Y-34267D03* -X21143Y-32949D03* -G54D34* -X18493Y-37758D03* -G54D35* -X20493Y-37758D03* -G54D36* -X16143Y-10858D03* -X16743Y-10858D03* -X14943Y-10858D03* -X15543Y-10858D03* -G54D37* -X21143Y-31758D03* -X21143Y-31158D03* -G54D38* -X17993Y-14258D03* -X17993Y-15258D03* -X17993Y-16258D03* -X17993Y-17258D03* -X17993Y-18258D03* -X17993Y-19258D03* -X17993Y-20258D03* -X17993Y-21258D03* -X17993Y-22258D03* -X17993Y-23258D03* -X17993Y-24258D03* -X17993Y-25258D03* -X17993Y-26258D03* -G54D39* -X17993Y-13258D03* -G54D38* -X20993Y-26258D03* -X20993Y-25258D03* -X20993Y-24258D03* -X20993Y-23258D03* -X20993Y-22258D03* -X20993Y-21258D03* -X20993Y-20258D03* -X20993Y-19258D03* -X20993Y-18258D03* -X20993Y-17258D03* -X20993Y-16258D03* -X20993Y-15258D03* -X20993Y-14258D03* -X20993Y-13258D03* -G54D40* -X22493Y-19258D03* -G54D41* -X22493Y-20258D03* -G54D40* -X21993Y-6258D03* -G54D41* -X22993Y-6258D03* -G54D40* -X16493Y-24758D03* -G54D41* -X16493Y-25758D03* -X16493Y-26758D03* -G54D40* -X15493Y-24758D03* -G54D41* -X15493Y-25758D03* -X15493Y-26758D03* -G54D40* -X14493Y-24758D03* -G54D41* -X14493Y-25758D03* -X14493Y-26758D03* -G54D40* -X14493Y-14258D03* -G54D41* -X14493Y-15258D03* -X14493Y-16258D03* -X14493Y-17258D03* -X14493Y-18258D03* -G54D40* -X15493Y-14258D03* -G54D41* -X15493Y-15258D03* -X15493Y-16258D03* -X15493Y-17258D03* -X15493Y-18258D03* -G54D40* -X16493Y-14258D03* -G54D41* -X16493Y-15258D03* -X16493Y-16258D03* -X16493Y-17258D03* -X16493Y-18258D03* -G54D40* -X24493Y-18258D03* -G54D41* -X24493Y-17258D03* -X24493Y-16258D03* -X24493Y-15258D03* -X24493Y-14258D03* -X24493Y-13258D03* -G54D40* -X23493Y-18258D03* -G54D41* -X23493Y-17258D03* -X23493Y-16258D03* -X23493Y-15258D03* -X23493Y-14258D03* -X23493Y-13258D03* -G54D40* -X24493Y-27258D03* -G54D41* -X24493Y-26258D03* -X24493Y-25258D03* -X24493Y-24258D03* -X24493Y-23258D03* -X24493Y-22258D03* -G54D40* -X23493Y-27258D03* -G54D41* -X23493Y-26258D03* -X23493Y-25258D03* -X23493Y-24258D03* -X23493Y-23258D03* -X23493Y-22258D03* -G54D40* -X22493Y-18258D03* -G54D41* -X22493Y-17258D03* -X22493Y-16258D03* -X22493Y-15258D03* -X22493Y-14258D03* -X22493Y-13258D03* -G54D40* -X22493Y-27258D03* -G54D41* -X22493Y-26258D03* -X22493Y-25258D03* -X22493Y-24258D03* -X22493Y-23258D03* -X22493Y-22258D03* -G54D36* -X14943Y-10108D03* -X15543Y-10108D03* -X17243Y-8058D03* -X16643Y-8058D03* -G54D37* -X22443Y-10158D03* -X22443Y-9558D03* -X21693Y-10158D03* -X21693Y-9558D03* -X14493Y-22158D03* -X14493Y-22758D03* -X14493Y-21358D03* -X14493Y-20758D03* -X23443Y-19258D03* -X23443Y-19858D03* -G54D36* -X23493Y-21258D03* -X24093Y-21258D03* -X14943Y-9358D03* -X15543Y-9358D03* -X16143Y-9358D03* -X16743Y-9358D03* -X17843Y-5258D03* -X17243Y-5258D03* -X18443Y-6258D03* -X17843Y-6258D03* -X17393Y-27758D03* -X17993Y-27758D03* -X15493Y-13258D03* -X16093Y-13258D03* -X16143Y-10108D03* -X16743Y-10108D03* -G54D42* -X20468Y-5058D03* -X21218Y-5058D03* -G54D43* -X20893Y-10908D03* -X20893Y-10658D03* -X20893Y-10398D03* -X20893Y-10138D03* -X20893Y-9888D03* -X20893Y-9628D03* -X20893Y-9368D03* -X20893Y-9118D03* -X20893Y-8858D03* -X20893Y-8608D03* -X20893Y-8348D03* -X20893Y-8088D03* -X20893Y-7838D03* -X20893Y-7578D03* -X18093Y-7578D03* -X18093Y-7838D03* -X18093Y-8078D03* -X18093Y-8348D03* -X18093Y-8608D03* -X18093Y-8858D03* -X18093Y-9118D03* -X18093Y-9368D03* -X18093Y-9628D03* -X18093Y-9888D03* -X18093Y-10138D03* -X18093Y-10398D03* -X18093Y-10658D03* -X18093Y-10908D03* -G54D41* -X14493Y-27758D03* -X16493Y-27758D03* -X14493Y-30758D03* -X16493Y-30758D03* -G54D40* -X16993Y-6258D03* -G54D41* -X15993Y-6258D03* -G54D44* -X22312Y-32452D03* -X22312Y-33358D03* -X22312Y-34264D03* -G54D45* -X24674Y-33358D03* -G54D46* -X15993Y-20758D03* -X15993Y-22758D03* -G54D25* -X10511Y-8109D03* -X9093Y-8109D03* -G54D26* -X6368Y-31759D03* -X4636Y-31759D03* -X6368Y-34259D03* -X4636Y-34259D03* -G54D27* -X7502Y-28759D03* -G54D28* -X7502Y-29759D03* -X6502Y-28759D03* -X6502Y-29759D03* -X5502Y-28759D03* -X5502Y-29759D03* -G54D29* -X4758Y-3684D03* -G54D30* -X7131Y-3794D03* -X6816Y-3794D03* -X6502Y-3794D03* -X6188Y-3794D03* -X5873Y-3794D03* -G54D31* -X7367Y-2799D03* -X5636Y-2799D03* -G54D29* -X8246Y-3684D03* -X8246Y-1519D03* -X4758Y-1519D03* -G54D32* -X10502Y-37259D03* -X2502Y-37259D03* -X10502Y-2259D03* -X2502Y-2259D03* -G54D33* -X8152Y-34268D03* -X8152Y-32950D03* -G54D34* -X5502Y-37759D03* -G54D35* -X7502Y-37759D03* -G54D36* -X3152Y-10859D03* -X3752Y-10859D03* -X1952Y-10859D03* -X2552Y-10859D03* -G54D37* -X8152Y-31759D03* -X8152Y-31159D03* -G54D38* -X5002Y-14259D03* -X5002Y-15259D03* -X5002Y-16259D03* -X5002Y-17259D03* -X5002Y-18259D03* -X5002Y-19259D03* -X5002Y-20259D03* -X5002Y-21259D03* -X5002Y-22259D03* -X5002Y-23259D03* -X5002Y-24259D03* -X5002Y-25259D03* -X5002Y-26259D03* -G54D39* -X5002Y-13259D03* -G54D38* -X8002Y-26259D03* -X8002Y-25259D03* -X8002Y-24259D03* -X8002Y-23259D03* -X8002Y-22259D03* -X8002Y-21259D03* -X8002Y-20259D03* -X8002Y-19259D03* -X8002Y-18259D03* -X8002Y-17259D03* -X8002Y-16259D03* -X8002Y-15259D03* -X8002Y-14259D03* -X8002Y-13259D03* -G54D40* -X9502Y-19259D03* -G54D41* -X9502Y-20259D03* -G54D40* -X9002Y-6259D03* -G54D41* -X10002Y-6259D03* -G54D40* -X3502Y-24759D03* -G54D41* -X3502Y-25759D03* -X3502Y-26759D03* -G54D40* -X2502Y-24759D03* -G54D41* -X2502Y-25759D03* -X2502Y-26759D03* -G54D40* -X1502Y-24759D03* -G54D41* -X1502Y-25759D03* -X1502Y-26759D03* -G54D40* -X1502Y-14259D03* -G54D41* -X1502Y-15259D03* -X1502Y-16259D03* -X1502Y-17259D03* -X1502Y-18259D03* -G54D40* -X2502Y-14259D03* -G54D41* -X2502Y-15259D03* -X2502Y-16259D03* -X2502Y-17259D03* -X2502Y-18259D03* -G54D40* -X3502Y-14259D03* -G54D41* -X3502Y-15259D03* -X3502Y-16259D03* -X3502Y-17259D03* -X3502Y-18259D03* -G54D40* -X11502Y-18259D03* -G54D41* -X11502Y-17259D03* -X11502Y-16259D03* -X11502Y-15259D03* -X11502Y-14259D03* -X11502Y-13259D03* -G54D40* -X10502Y-18259D03* -G54D41* -X10502Y-17259D03* -X10502Y-16259D03* -X10502Y-15259D03* -X10502Y-14259D03* -X10502Y-13259D03* -G54D40* -X11502Y-27259D03* -G54D41* -X11502Y-26259D03* -X11502Y-25259D03* -X11502Y-24259D03* -X11502Y-23259D03* -X11502Y-22259D03* -G54D40* -X10502Y-27259D03* -G54D41* -X10502Y-26259D03* -X10502Y-25259D03* -X10502Y-24259D03* -X10502Y-23259D03* -X10502Y-22259D03* -G54D40* -X9502Y-18259D03* -G54D41* -X9502Y-17259D03* -X9502Y-16259D03* -X9502Y-15259D03* -X9502Y-14259D03* -X9502Y-13259D03* -G54D40* -X9502Y-27259D03* -G54D41* -X9502Y-26259D03* -X9502Y-25259D03* -X9502Y-24259D03* -X9502Y-23259D03* -X9502Y-22259D03* -G54D36* -X1952Y-10109D03* -X2552Y-10109D03* -X4252Y-8059D03* -X3652Y-8059D03* -G54D37* -X9452Y-10159D03* -X9452Y-9559D03* -X8702Y-10159D03* -X8702Y-9559D03* -X1502Y-22159D03* -X1502Y-22759D03* -X1502Y-21359D03* -X1502Y-20759D03* -X10452Y-19259D03* -X10452Y-19859D03* -G54D36* -X10502Y-21259D03* -X11102Y-21259D03* -X1952Y-9359D03* -X2552Y-9359D03* -X3152Y-9359D03* -X3752Y-9359D03* -X4852Y-5259D03* -X4252Y-5259D03* -X5452Y-6259D03* -X4852Y-6259D03* -X4402Y-27759D03* -X5002Y-27759D03* -X2502Y-13259D03* -X3102Y-13259D03* -X3152Y-10109D03* -X3752Y-10109D03* -G54D42* -X7477Y-5059D03* -X8227Y-5059D03* -G54D43* -X7902Y-10909D03* -X7902Y-10659D03* -X7902Y-10399D03* -X7902Y-10139D03* -X7902Y-9889D03* -X7902Y-9629D03* -X7902Y-9369D03* -X7902Y-9119D03* -X7902Y-8859D03* -X7902Y-8609D03* -X7902Y-8349D03* -X7902Y-8089D03* -X7902Y-7839D03* -X7902Y-7579D03* -X5102Y-7579D03* -X5102Y-7839D03* -X5102Y-8079D03* -X5102Y-8349D03* -X5102Y-8609D03* -X5102Y-8859D03* -X5102Y-9119D03* -X5102Y-9369D03* -X5102Y-9629D03* -X5102Y-9889D03* -X5102Y-10139D03* -X5102Y-10399D03* -X5102Y-10659D03* -X5102Y-10909D03* -G54D41* -X1502Y-27759D03* -X3502Y-27759D03* -X1502Y-30759D03* -X3502Y-30759D03* -G54D40* -X4002Y-6259D03* -G54D41* -X3002Y-6259D03* -G54D44* -X9321Y-32453D03* -X9321Y-33359D03* -X9321Y-34265D03* -G54D45* -X11683Y-33359D03* -G54D46* -X3002Y-20759D03* -X3002Y-22759D03* -X29379Y-20759D03* -X29379Y-22759D03* -G54D44* -X35698Y-32453D03* -X35698Y-33359D03* -X35698Y-34265D03* -G54D45* -X38060Y-33359D03* -G54D40* -X30379Y-6259D03* -G54D41* -X29379Y-6259D03* -X27879Y-27759D03* -X29879Y-27759D03* -X27879Y-30759D03* -X29879Y-30759D03* -G54D43* -X34279Y-10909D03* -X34279Y-10659D03* -X34279Y-10399D03* -X34279Y-10139D03* -X34279Y-9889D03* -X34279Y-9629D03* -X34279Y-9369D03* -X34279Y-9119D03* -X34279Y-8859D03* -X34279Y-8609D03* -X34279Y-8349D03* -X34279Y-8089D03* -X34279Y-7839D03* -X34279Y-7579D03* -X31479Y-7579D03* -X31479Y-7839D03* -X31479Y-8079D03* -X31479Y-8349D03* -X31479Y-8609D03* -X31479Y-8859D03* -X31479Y-9119D03* -X31479Y-9369D03* -X31479Y-9629D03* -X31479Y-9889D03* -X31479Y-10139D03* -X31479Y-10399D03* -X31479Y-10659D03* -X31479Y-10909D03* -G54D42* -X33854Y-5059D03* -X34604Y-5059D03* -G54D36* -X29529Y-10109D03* -X30129Y-10109D03* -X28879Y-13259D03* -X29479Y-13259D03* -X30779Y-27759D03* -X31379Y-27759D03* -X31829Y-6259D03* -X31229Y-6259D03* -X31229Y-5259D03* -X30629Y-5259D03* -X29529Y-9359D03* -X30129Y-9359D03* -X28329Y-9359D03* -X28929Y-9359D03* -X36879Y-21259D03* -X37479Y-21259D03* -G54D37* -X36829Y-19259D03* -X36829Y-19859D03* -X27879Y-21359D03* -X27879Y-20759D03* -X27879Y-22159D03* -X27879Y-22759D03* -X35079Y-10159D03* -X35079Y-9559D03* -X35829Y-10159D03* -X35829Y-9559D03* -G54D36* -X30629Y-8059D03* -X30029Y-8059D03* -X28329Y-10109D03* -X28929Y-10109D03* -G54D40* -X35879Y-27259D03* -G54D41* -X35879Y-26259D03* -X35879Y-25259D03* -X35879Y-24259D03* -X35879Y-23259D03* -X35879Y-22259D03* -G54D40* -X35879Y-18259D03* -G54D41* -X35879Y-17259D03* -X35879Y-16259D03* -X35879Y-15259D03* -X35879Y-14259D03* -X35879Y-13259D03* -G54D40* -X36879Y-27259D03* -G54D41* -X36879Y-26259D03* -X36879Y-25259D03* -X36879Y-24259D03* -X36879Y-23259D03* -X36879Y-22259D03* -G54D40* -X37879Y-27259D03* -G54D41* -X37879Y-26259D03* -X37879Y-25259D03* -X37879Y-24259D03* -X37879Y-23259D03* -X37879Y-22259D03* -G54D40* -X36879Y-18259D03* -G54D41* -X36879Y-17259D03* -X36879Y-16259D03* -X36879Y-15259D03* -X36879Y-14259D03* -X36879Y-13259D03* -G54D40* -X37879Y-18259D03* -G54D41* -X37879Y-17259D03* -X37879Y-16259D03* -X37879Y-15259D03* -X37879Y-14259D03* -X37879Y-13259D03* -G54D40* -X29879Y-14259D03* -G54D41* -X29879Y-15259D03* -X29879Y-16259D03* -X29879Y-17259D03* -X29879Y-18259D03* -G54D40* -X28879Y-14259D03* -G54D41* -X28879Y-15259D03* -X28879Y-16259D03* -X28879Y-17259D03* -X28879Y-18259D03* -G54D40* -X27879Y-14259D03* -G54D41* -X27879Y-15259D03* -X27879Y-16259D03* -X27879Y-17259D03* -X27879Y-18259D03* -G54D40* -X27879Y-24759D03* -G54D41* -X27879Y-25759D03* -X27879Y-26759D03* -G54D40* -X28879Y-24759D03* -G54D41* -X28879Y-25759D03* -X28879Y-26759D03* -G54D40* -X29879Y-24759D03* -G54D41* -X29879Y-25759D03* -X29879Y-26759D03* -G54D40* -X35379Y-6259D03* -G54D41* -X36379Y-6259D03* -G54D40* -X35879Y-19259D03* -G54D41* -X35879Y-20259D03* -G54D38* -X31379Y-14259D03* -X31379Y-15259D03* -X31379Y-16259D03* -X31379Y-17259D03* -X31379Y-18259D03* -X31379Y-19259D03* -X31379Y-20259D03* -X31379Y-21259D03* -X31379Y-22259D03* -X31379Y-23259D03* -X31379Y-24259D03* -X31379Y-25259D03* -X31379Y-26259D03* -G54D39* -X31379Y-13259D03* -G54D38* -X34379Y-26259D03* -X34379Y-25259D03* -X34379Y-24259D03* -X34379Y-23259D03* -X34379Y-22259D03* -X34379Y-21259D03* -X34379Y-20259D03* -X34379Y-19259D03* -X34379Y-18259D03* -X34379Y-17259D03* -X34379Y-16259D03* -X34379Y-15259D03* -X34379Y-14259D03* -X34379Y-13259D03* -G54D37* -X34529Y-31759D03* -X34529Y-31159D03* -G54D36* -X28329Y-10859D03* -X28929Y-10859D03* -X29529Y-10859D03* -X30129Y-10859D03* -G54D34* -X31879Y-37759D03* -G54D35* -X33879Y-37759D03* -G54D33* -X34529Y-34268D03* -X34529Y-32950D03* -G54D32* -X28879Y-2259D03* -X36879Y-2259D03* -X28879Y-37259D03* -X36879Y-37259D03* -G54D29* -X31135Y-3684D03* -G54D30* -X33508Y-3794D03* -X33193Y-3794D03* -X32879Y-3794D03* -X32565Y-3794D03* -X32250Y-3794D03* -G54D31* -X33744Y-2799D03* -X32013Y-2799D03* -G54D29* -X34623Y-3684D03* -X34623Y-1519D03* -X31135Y-1519D03* -G54D27* -X33879Y-28759D03* -G54D28* -X33879Y-29759D03* -X32879Y-28759D03* -X32879Y-29759D03* -X31879Y-28759D03* -X31879Y-29759D03* -G54D26* -X32745Y-34259D03* -X31013Y-34259D03* -X32745Y-31759D03* -X31013Y-31759D03* -G54D25* -X36888Y-8109D03* -X35470Y-8109D03* -M02* diff --git a/plot/panelized/kaboard_panelized-Front.gtl b/plot/panelized/kaboard_panelized-Front.gtl index 0cd8e53..3c18990 100644 --- a/plot/panelized/kaboard_panelized-Front.gtl +++ b/plot/panelized/kaboard_panelized-Front.gtl @@ -1,4 +1,4 @@ -G04 (created by PCBNEW (2012-12-10 BZR 3844)-stable) date Tue 18 Dec 2012 09:43:10 AM CET* +G04 (created by PCBNEW (2012-10-13 BZR 3765)-stable) date Tue 18 Dec 2012 05:56:43 PM CET* %MOIN*% G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* %FSLAX34Y34*% diff --git a/plot/panelized/kaboard_panelized.drl b/plot/panelized/kaboard_panelized.drl index 43dd926..d44aa1e 100644 --- a/plot/panelized/kaboard_panelized.drl +++ b/plot/panelized/kaboard_panelized.drl @@ -1,376 +1,376 @@ M48 -;DRILL file {Pcbnew (2012-12-10 BZR 3844)-stable} date Tue 18 Dec 2012 09:43:07 AM CET -;FORMAT={3:3/ absolute / metric / suppress leading zeros} +;DRILL file {Pcbnew (2012-10-13 BZR 3765)-stable} date Tue 18 Dec 2012 05:56:45 PM CET +;FORMAT={-:-/ absolute / inch / decimal} FMAT,2 -METRIC,TZ -T1C0.508 -T2C0.762 -T3C0.813 -T4C0.899 -T5C1.016 -T6C1.524 -T7C3.099 +INCH,TZ +T1C0.020 +T2C0.030 +T3C0.032 +T4C0.035 +T5C0.040 +T6C0.060 +T7C0.122 % G90 G05 -M71 +M72 T1 -X15245Y-15898 -X19055Y-15898 -X21595Y-27074 -X48242Y-15895 -X52052Y-15895 -X54592Y-27071 -X82243Y-15898 -X86053Y-15898 -X88593Y-27074 +X0.6002Y-0.6259 +X0.7502Y-0.6259 +X0.8502Y-1.0659 +X1.8993Y-0.6258 +X2.0493Y-0.6258 +X2.1493Y-1.0658 +X3.2379Y-0.6259 +X3.3879Y-0.6259 +X3.4879Y-1.0659 T2 -X7625Y-52728 -X7625Y-57808 -X40622Y-52725 -X40622Y-57805 -X74623Y-52728 -X74623Y-57808 +X0.3002Y-2.0759 +X0.3002Y-2.2759 +X1.5993Y-2.0758 +X1.5993Y-2.2758 +X2.9379Y-2.0759 +X2.9379Y-2.2759 T3 -X3815Y-36218 -X3815Y-38758 -X3815Y-41298 -X3815Y-43838 -X3815Y-46378 -X3815Y-62888 -X3815Y-65428 -X3815Y-67968 -X3815Y-70508 -X3815Y-78128 -X6355Y-36218 -X6355Y-38758 -X6355Y-41298 -X6355Y-43838 -X6355Y-46378 -X6355Y-62888 -X6355Y-65428 -X6355Y-67968 -X7625Y-15898 -X8895Y-36218 -X8895Y-38758 -X8895Y-41298 -X8895Y-43838 -X8895Y-46378 -X8895Y-62888 -X8895Y-65428 -X8895Y-67968 -X8895Y-70508 -X8895Y-78128 -X10165Y-15898 -X12705Y-33678 -X12705Y-36218 -X12705Y-38758 -X12705Y-41298 -X12705Y-43838 -X12705Y-46378 -X12705Y-48918 -X12705Y-51458 -X12705Y-53998 -X12705Y-56538 -X12705Y-59078 -X12705Y-61618 -X12705Y-64158 -X12705Y-66698 -X20325Y-33678 -X20325Y-36218 -X20325Y-38758 -X20325Y-41298 -X20325Y-43838 -X20325Y-46378 -X20325Y-48918 -X20325Y-51458 -X20325Y-53998 -X20325Y-56538 -X20325Y-59078 -X20325Y-61618 -X20325Y-64158 -X20325Y-66698 -X22865Y-15898 -X24135Y-33678 -X24135Y-36218 -X24135Y-38758 -X24135Y-41298 -X24135Y-43838 -X24135Y-46378 -X24135Y-48918 -X24135Y-51458 -X24135Y-56538 -X24135Y-59078 -X24135Y-61618 -X24135Y-64158 -X24135Y-66698 -X24135Y-69238 -X25405Y-15898 -X26675Y-33678 -X26675Y-36218 -X26675Y-38758 -X26675Y-41298 -X26675Y-43838 -X26675Y-46378 -X26675Y-56538 -X26675Y-59078 -X26675Y-61618 -X26675Y-64158 -X26675Y-66698 -X26675Y-69238 -X29215Y-33678 -X29215Y-36218 -X29215Y-38758 -X29215Y-41298 -X29215Y-43838 -X29215Y-46378 -X29215Y-56538 -X29215Y-59078 -X29215Y-61618 -X29215Y-64158 -X29215Y-66698 -X29215Y-69238 -X36812Y-36215 -X36812Y-38755 -X36812Y-41295 -X36812Y-43835 -X36812Y-46375 -X36812Y-62885 -X36812Y-65425 -X36812Y-67965 -X36812Y-70505 -X36812Y-78125 -X39352Y-36215 -X39352Y-38755 -X39352Y-41295 -X39352Y-43835 -X39352Y-46375 -X39352Y-62885 -X39352Y-65425 -X39352Y-67965 -X40622Y-15895 -X41892Y-36215 -X41892Y-38755 -X41892Y-41295 -X41892Y-43835 -X41892Y-46375 -X41892Y-62885 -X41892Y-65425 -X41892Y-67965 -X41892Y-70505 -X41892Y-78125 -X43162Y-15895 -X45702Y-33675 -X45702Y-36215 -X45702Y-38755 -X45702Y-41295 -X45702Y-43835 -X45702Y-46375 -X45702Y-48915 -X45702Y-51455 -X45702Y-53995 -X45702Y-56535 -X45702Y-59075 -X45702Y-61615 -X45702Y-64155 -X45702Y-66695 -X53322Y-33675 -X53322Y-36215 -X53322Y-38755 -X53322Y-41295 -X53322Y-43835 -X53322Y-46375 -X53322Y-48915 -X53322Y-51455 -X53322Y-53995 -X53322Y-56535 -X53322Y-59075 -X53322Y-61615 -X53322Y-64155 -X53322Y-66695 -X55862Y-15895 -X57132Y-33675 -X57132Y-36215 -X57132Y-38755 -X57132Y-41295 -X57132Y-43835 -X57132Y-46375 -X57132Y-48915 -X57132Y-51455 -X57132Y-56535 -X57132Y-59075 -X57132Y-61615 -X57132Y-64155 -X57132Y-66695 -X57132Y-69235 -X58402Y-15895 -X59672Y-33675 -X59672Y-36215 -X59672Y-38755 -X59672Y-41295 -X59672Y-43835 -X59672Y-46375 -X59672Y-56535 -X59672Y-59075 -X59672Y-61615 -X59672Y-64155 -X59672Y-66695 -X59672Y-69235 -X62212Y-33675 -X62212Y-36215 -X62212Y-38755 -X62212Y-41295 -X62212Y-43835 -X62212Y-46375 -X62212Y-56535 -X62212Y-59075 -X62212Y-61615 -X62212Y-64155 -X62212Y-66695 -X62212Y-69235 -X70813Y-36218 -X70813Y-38758 -X70813Y-41298 -X70813Y-43838 -X70813Y-46378 -X70813Y-62888 -X70813Y-65428 -X70813Y-67968 -X70813Y-70508 -X70813Y-78128 -X73353Y-36218 -X73353Y-38758 -X73353Y-41298 -X73353Y-43838 -X73353Y-46378 -X73353Y-62888 -X73353Y-65428 -X73353Y-67968 -X74623Y-15898 -X75893Y-36218 -X75893Y-38758 -X75893Y-41298 -X75893Y-43838 -X75893Y-46378 -X75893Y-62888 -X75893Y-65428 -X75893Y-67968 -X75893Y-70508 -X75893Y-78128 -X77163Y-15898 -X79703Y-33678 -X79703Y-36218 -X79703Y-38758 -X79703Y-41298 -X79703Y-43838 -X79703Y-46378 -X79703Y-48918 -X79703Y-51458 -X79703Y-53998 -X79703Y-56538 -X79703Y-59078 -X79703Y-61618 -X79703Y-64158 -X79703Y-66698 -X87323Y-33678 -X87323Y-36218 -X87323Y-38758 -X87323Y-41298 -X87323Y-43838 -X87323Y-46378 -X87323Y-48918 -X87323Y-51458 -X87323Y-53998 -X87323Y-56538 -X87323Y-59078 -X87323Y-61618 -X87323Y-64158 -X87323Y-66698 -X89863Y-15898 -X91133Y-33678 -X91133Y-36218 -X91133Y-38758 -X91133Y-41298 -X91133Y-43838 -X91133Y-46378 -X91133Y-48918 -X91133Y-51458 -X91133Y-56538 -X91133Y-59078 -X91133Y-61618 -X91133Y-64158 -X91133Y-66698 -X91133Y-69238 -X92403Y-15898 -X93673Y-33678 -X93673Y-36218 -X93673Y-38758 -X93673Y-41298 -X93673Y-43838 -X93673Y-46378 -X93673Y-56538 -X93673Y-59078 -X93673Y-61618 -X93673Y-64158 -X93673Y-66698 -X93673Y-69238 -X96213Y-33678 -X96213Y-36218 -X96213Y-38758 -X96213Y-41298 -X96213Y-43838 -X96213Y-46378 -X96213Y-56538 -X96213Y-59078 -X96213Y-61618 -X96213Y-64158 -X96213Y-66698 -X96213Y-69238 +X0.1502Y-1.4259 +X0.1502Y-1.5259 +X0.1502Y-1.6259 +X0.1502Y-1.7259 +X0.1502Y-1.8259 +X0.1502Y-2.4759 +X0.1502Y-2.5759 +X0.1502Y-2.6759 +X0.1502Y-2.7759 +X0.1502Y-3.0759 +X0.2502Y-1.4259 +X0.2502Y-1.5259 +X0.2502Y-1.6259 +X0.2502Y-1.7259 +X0.2502Y-1.8259 +X0.2502Y-2.4759 +X0.2502Y-2.5759 +X0.2502Y-2.6759 +X0.3002Y-0.6259 +X0.3502Y-1.4259 +X0.3502Y-1.5259 +X0.3502Y-1.6259 +X0.3502Y-1.7259 +X0.3502Y-1.8259 +X0.3502Y-2.4759 +X0.3502Y-2.5759 +X0.3502Y-2.6759 +X0.3502Y-2.7759 +X0.3502Y-3.0759 +X0.4002Y-0.6259 +X0.5002Y-1.3259 +X0.5002Y-1.4259 +X0.5002Y-1.5259 +X0.5002Y-1.6259 +X0.5002Y-1.7259 +X0.5002Y-1.8259 +X0.5002Y-1.9259 +X0.5002Y-2.0259 +X0.5002Y-2.1259 +X0.5002Y-2.2259 +X0.5002Y-2.3259 +X0.5002Y-2.4259 +X0.5002Y-2.5259 +X0.5002Y-2.6259 +X0.8002Y-1.3259 +X0.8002Y-1.4259 +X0.8002Y-1.5259 +X0.8002Y-1.6259 +X0.8002Y-1.7259 +X0.8002Y-1.8259 +X0.8002Y-1.9259 +X0.8002Y-2.0259 +X0.8002Y-2.1259 +X0.8002Y-2.2259 +X0.8002Y-2.3259 +X0.8002Y-2.4259 +X0.8002Y-2.5259 +X0.8002Y-2.6259 +X0.9002Y-0.6259 +X0.9502Y-1.3259 +X0.9502Y-1.4259 +X0.9502Y-1.5259 +X0.9502Y-1.6259 +X0.9502Y-1.7259 +X0.9502Y-1.8259 +X0.9502Y-1.9259 +X0.9502Y-2.0259 +X0.9502Y-2.2259 +X0.9502Y-2.3259 +X0.9502Y-2.4259 +X0.9502Y-2.5259 +X0.9502Y-2.6259 +X0.9502Y-2.7259 +X1.0002Y-0.6259 +X1.0502Y-1.3259 +X1.0502Y-1.4259 +X1.0502Y-1.5259 +X1.0502Y-1.6259 +X1.0502Y-1.7259 +X1.0502Y-1.8259 +X1.0502Y-2.2259 +X1.0502Y-2.3259 +X1.0502Y-2.4259 +X1.0502Y-2.5259 +X1.0502Y-2.6259 +X1.0502Y-2.7259 +X1.1502Y-1.3259 +X1.1502Y-1.4259 +X1.1502Y-1.5259 +X1.1502Y-1.6259 +X1.1502Y-1.7259 +X1.1502Y-1.8259 +X1.1502Y-2.2259 +X1.1502Y-2.3259 +X1.1502Y-2.4259 +X1.1502Y-2.5259 +X1.1502Y-2.6259 +X1.1502Y-2.7259 +X1.4493Y-1.4258 +X1.4493Y-1.5258 +X1.4493Y-1.6258 +X1.4493Y-1.7258 +X1.4493Y-1.8258 +X1.4493Y-2.4758 +X1.4493Y-2.5758 +X1.4493Y-2.6758 +X1.4493Y-2.7758 +X1.4493Y-3.0758 +X1.5493Y-1.4258 +X1.5493Y-1.5258 +X1.5493Y-1.6258 +X1.5493Y-1.7258 +X1.5493Y-1.8258 +X1.5493Y-2.4758 +X1.5493Y-2.5758 +X1.5493Y-2.6758 +X1.5993Y-0.6258 +X1.6493Y-1.4258 +X1.6493Y-1.5258 +X1.6493Y-1.6258 +X1.6493Y-1.7258 +X1.6493Y-1.8258 +X1.6493Y-2.4758 +X1.6493Y-2.5758 +X1.6493Y-2.6758 +X1.6493Y-2.7758 +X1.6493Y-3.0758 +X1.6993Y-0.6258 +X1.7993Y-1.3258 +X1.7993Y-1.4258 +X1.7993Y-1.5258 +X1.7993Y-1.6258 +X1.7993Y-1.7258 +X1.7993Y-1.8258 +X1.7993Y-1.9258 +X1.7993Y-2.0258 +X1.7993Y-2.1258 +X1.7993Y-2.2258 +X1.7993Y-2.3258 +X1.7993Y-2.4258 +X1.7993Y-2.5258 +X1.7993Y-2.6258 +X2.0993Y-1.3258 +X2.0993Y-1.4258 +X2.0993Y-1.5258 +X2.0993Y-1.6258 +X2.0993Y-1.7258 +X2.0993Y-1.8258 +X2.0993Y-1.9258 +X2.0993Y-2.0258 +X2.0993Y-2.1258 +X2.0993Y-2.2258 +X2.0993Y-2.3258 +X2.0993Y-2.4258 +X2.0993Y-2.5258 +X2.0993Y-2.6258 +X2.1993Y-0.6258 +X2.2493Y-1.3258 +X2.2493Y-1.4258 +X2.2493Y-1.5258 +X2.2493Y-1.6258 +X2.2493Y-1.7258 +X2.2493Y-1.8258 +X2.2493Y-1.9258 +X2.2493Y-2.0258 +X2.2493Y-2.2258 +X2.2493Y-2.3258 +X2.2493Y-2.4258 +X2.2493Y-2.5258 +X2.2493Y-2.6258 +X2.2493Y-2.7258 +X2.2993Y-0.6258 +X2.3493Y-1.3258 +X2.3493Y-1.4258 +X2.3493Y-1.5258 +X2.3493Y-1.6258 +X2.3493Y-1.7258 +X2.3493Y-1.8258 +X2.3493Y-2.2258 +X2.3493Y-2.3258 +X2.3493Y-2.4258 +X2.3493Y-2.5258 +X2.3493Y-2.6258 +X2.3493Y-2.7258 +X2.4493Y-1.3258 +X2.4493Y-1.4258 +X2.4493Y-1.5258 +X2.4493Y-1.6258 +X2.4493Y-1.7258 +X2.4493Y-1.8258 +X2.4493Y-2.2258 +X2.4493Y-2.3258 +X2.4493Y-2.4258 +X2.4493Y-2.5258 +X2.4493Y-2.6258 +X2.4493Y-2.7258 +X2.7879Y-1.4259 +X2.7879Y-1.5259 +X2.7879Y-1.6259 +X2.7879Y-1.7259 +X2.7879Y-1.8259 +X2.7879Y-2.4759 +X2.7879Y-2.5759 +X2.7879Y-2.6759 +X2.7879Y-2.7759 +X2.7879Y-3.0759 +X2.8879Y-1.4259 +X2.8879Y-1.5259 +X2.8879Y-1.6259 +X2.8879Y-1.7259 +X2.8879Y-1.8259 +X2.8879Y-2.4759 +X2.8879Y-2.5759 +X2.8879Y-2.6759 +X2.9379Y-0.6259 +X2.9879Y-1.4259 +X2.9879Y-1.5259 +X2.9879Y-1.6259 +X2.9879Y-1.7259 +X2.9879Y-1.8259 +X2.9879Y-2.4759 +X2.9879Y-2.5759 +X2.9879Y-2.6759 +X2.9879Y-2.7759 +X2.9879Y-3.0759 +X3.0379Y-0.6259 +X3.1379Y-1.3259 +X3.1379Y-1.4259 +X3.1379Y-1.5259 +X3.1379Y-1.6259 +X3.1379Y-1.7259 +X3.1379Y-1.8259 +X3.1379Y-1.9259 +X3.1379Y-2.0259 +X3.1379Y-2.1259 +X3.1379Y-2.2259 +X3.1379Y-2.3259 +X3.1379Y-2.4259 +X3.1379Y-2.5259 +X3.1379Y-2.6259 +X3.4379Y-1.3259 +X3.4379Y-1.4259 +X3.4379Y-1.5259 +X3.4379Y-1.6259 +X3.4379Y-1.7259 +X3.4379Y-1.8259 +X3.4379Y-1.9259 +X3.4379Y-2.0259 +X3.4379Y-2.1259 +X3.4379Y-2.2259 +X3.4379Y-2.3259 +X3.4379Y-2.4259 +X3.4379Y-2.5259 +X3.4379Y-2.6259 +X3.5379Y-0.6259 +X3.5879Y-1.3259 +X3.5879Y-1.4259 +X3.5879Y-1.5259 +X3.5879Y-1.6259 +X3.5879Y-1.7259 +X3.5879Y-1.8259 +X3.5879Y-1.9259 +X3.5879Y-2.0259 +X3.5879Y-2.2259 +X3.5879Y-2.3259 +X3.5879Y-2.4259 +X3.5879Y-2.5259 +X3.5879Y-2.6259 +X3.5879Y-2.7259 +X3.6379Y-0.6259 +X3.6879Y-1.3259 +X3.6879Y-1.4259 +X3.6879Y-1.5259 +X3.6879Y-1.6259 +X3.6879Y-1.7259 +X3.6879Y-1.8259 +X3.6879Y-2.2259 +X3.6879Y-2.3259 +X3.6879Y-2.4259 +X3.6879Y-2.5259 +X3.6879Y-2.6259 +X3.6879Y-2.7259 +X3.7879Y-1.3259 +X3.7879Y-1.4259 +X3.7879Y-1.5259 +X3.7879Y-1.6259 +X3.7879Y-1.7259 +X3.7879Y-1.8259 +X3.7879Y-2.2259 +X3.7879Y-2.3259 +X3.7879Y-2.4259 +X3.7879Y-2.5259 +X3.7879Y-2.6259 +X3.7879Y-2.7259 T4 -X14315Y-7109 -X18712Y-7109 -X47313Y-7107 -X51709Y-7107 -X81313Y-7109 -X85710Y-7109 +X0.5636Y-0.2799 +X0.7367Y-0.2799 +X1.8627Y-0.2798 +X2.0358Y-0.2798 +X3.2013Y-0.2799 +X3.3744Y-0.2799 T5 -X13975Y-73048 -X13975Y-75588 -X16515Y-73048 -X16515Y-75588 -X19055Y-73048 -X19055Y-75588 -X46972Y-73045 -X46972Y-75585 -X49512Y-73045 -X49512Y-75585 -X52052Y-73045 -X52052Y-75585 -X80973Y-73048 -X80973Y-75588 -X83513Y-73048 -X83513Y-75588 -X86053Y-73048 -X86053Y-75588 +X0.5502Y-2.8759 +X0.5502Y-2.9759 +X0.6502Y-2.8759 +X0.6502Y-2.9759 +X0.7502Y-2.8759 +X0.7502Y-2.9759 +X1.8493Y-2.8758 +X1.8493Y-2.9758 +X1.9493Y-2.8758 +X1.9493Y-2.9758 +X2.0493Y-2.8758 +X2.0493Y-2.9758 +X3.1879Y-2.8759 +X3.1879Y-2.9759 +X3.2879Y-2.8759 +X3.2879Y-2.9759 +X3.3879Y-2.8759 +X3.3879Y-2.9759 T6 -X13975Y-95908 -X19055Y-95908 -X46972Y-95905 -X52052Y-95905 -X80973Y-95908 -X86053Y-95908 +X0.5502Y-3.7759 +X0.7502Y-3.7759 +X1.8493Y-3.7758 +X2.0493Y-3.7758 +X3.1879Y-3.7759 +X3.3879Y-3.7759 T7 -X6355Y-5738 -X6355Y-94638 -X26675Y-5738 -X26675Y-94638 -X39352Y-5735 -X39352Y-94635 -X59672Y-5735 -X59672Y-94635 -X73353Y-5738 -X73353Y-94638 -X93673Y-5738 -X93673Y-94638 +X0.2502Y-0.2259 +X0.2502Y-3.7259 +X1.0502Y-0.2259 +X1.0502Y-3.7259 +X1.5493Y-0.2258 +X1.5493Y-3.7258 +X2.3493Y-0.2258 +X2.3493Y-3.7258 +X2.8879Y-0.2259 +X2.8879Y-3.7259 +X3.6879Y-0.2259 +X3.6879Y-3.7259 T0 M30