From bfa3f1a445a03ccc1830ee5dbdcd8e6c2301e924 Mon Sep 17 00:00:00 2001 From: Sebastian Date: Sat, 16 Dec 2023 22:50:06 +0100 Subject: [PATCH] Generated production files --- cheapsdo.kicad_pcb | 436 ++++++++++++------ cheapsdo.kicad_pro | 2 +- .../cheapsdo_2023-12-16_22-36-49/bom.csv | 34 ++ .../cheapsdo_2023-12-16_22-36-49/cheapsdo.zip | Bin 0 -> 318861 bytes .../designators.csv | 83 ++++ .../cheapsdo_2023-12-16_22-36-49/netlist.ipc | 364 +++++++++++++++ .../positions.csv | 63 +++ ~cheapsdo.kicad_pcb.lck | 1 - 8 files changed, 851 insertions(+), 132 deletions(-) create mode 100644 production/cheapsdo_2023-12-16_22-36-49/bom.csv create mode 100644 production/cheapsdo_2023-12-16_22-36-49/cheapsdo.zip create mode 100644 production/cheapsdo_2023-12-16_22-36-49/designators.csv create mode 100644 production/cheapsdo_2023-12-16_22-36-49/netlist.ipc create mode 100644 production/cheapsdo_2023-12-16_22-36-49/positions.csv delete mode 100644 ~cheapsdo.kicad_pcb.lck diff --git a/cheapsdo.kicad_pcb b/cheapsdo.kicad_pcb index abe0fe4..784836e 100644 --- a/cheapsdo.kicad_pcb +++ b/cheapsdo.kicad_pcb @@ -2118,7 +2118,7 @@ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4549f82b-9a6f-4b61-92bb-efa234b2eb5c)) (fp_line (start 8.62 10) (end 8.62 -2) (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9069c8f1-8065-4ae2-b307-b490fce84092)) - (pad "1" thru_hole roundrect (at 0 0 270) (size 2.08 3.6) (drill 1.4) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.120192) + (pad "1" thru_hole roundrect (at 0 0 270) (size 2.08 3.6) (drill 1.4) (layers "*.Cu" "*.Mask") (roundrect_rratio 0.1201918269) (net 2 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp 303f0051-fd32-41e0-8397-7bfea48cc534)) (pad "2" thru_hole oval (at 5.08 0 270) (size 2.08 3.6) (drill 1.4) (layers "*.Cu" "*.Mask") (net 94 "Net-(D6-A)") (pinfunction "Pin_2") (pintype "passive") (tstamp 4225bdbd-efcf-4239-8d21-ce1634fb7523)) @@ -3581,7 +3581,7 @@ (property "Sheetname" "TCXO") (path "/b676244e-e6f2-4818-839e-cc6b0f699fef/0fd5b7bb-c639-4091-afb1-746c32db02a4") (attr smd) - (fp_text reference "C10" (at 0 -1.68) (layer "F.SilkS") + (fp_text reference "C10" (at -0.8225 1.825) (layer "F.SilkS") (effects (font (size 1 1) (thickness 0.15))) (tstamp 2b277fed-8d24-45c2-8e98-6f1689dbfef5) ) @@ -3613,9 +3613,9 @@ (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b361a2a-e8fb-4ecf-9813-3b96a0a2d252)) (fp_line (start 1 0.625) (end -1 0.625) (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1dc12611-113c-4e14-bcfd-17fb1da7b61d)) - (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (pad "1" smd roundrect (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) (net 7 "Net-(U3B-+)") (pintype "passive") (tstamp bc46c613-c7bb-4f74-bc7a-00e634cdf84c)) - (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.212766) + (pad "2" smd roundrect (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) (net 2 "GND") (pintype "passive") (tstamp d59121cb-4ba8-4f49-b09a-f471b62786bf)) (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" (offset (xyz 0 0 0)) @@ -23504,20 +23504,24 @@ (segment (start 154.305 92.71) (end 154.305 102.235) (width 1) (layer "F.Cu") (net 1) (tstamp 4170b79c-101e-4e8d-a0b1-35fd69f5fa66)) (segment (start 139.7 105.41) (end 139.7 103.505) (width 1) (layer "F.Cu") (net 1) (tstamp 57fdebeb-d402-44ca-949d-63c28d71ea18)) (segment (start 147.915 89.535) (end 151.13 89.535) (width 0.75) (layer "F.Cu") (net 1) (tstamp 72b26295-adc7-4a4c-9aaf-17dae4176ec7)) + (segment (start 140.335 102.235) (end 139.7 101.6) (width 1) (layer "F.Cu") (net 1) (tstamp 83706f88-e719-443e-b58e-aea8e7292b26)) (segment (start 135.175 101.6) (end 137.16 101.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8f693251-db9e-42a5-ab61-b275ff962ab3)) (segment (start 149.225 102.235) (end 154.305 102.235) (width 1) (layer "F.Cu") (net 1) (tstamp 993ece44-70bf-4ab0-916f-b7e2d4125b9a)) + (segment (start 139.7 101.6) (end 139.7 101.36) (width 1) (layer "F.Cu") (net 1) (tstamp a66cb2f2-290c-42a9-8321-c26840de5095)) (segment (start 161.29 102.235) (end 154.305 102.235) (width 0.5) (layer "F.Cu") (net 1) (tstamp b21deca6-4ac2-4bc5-9961-36f3aa862525)) (segment (start 162.52 108.095) (end 162.52 103.465) (width 0.5) (layer "F.Cu") (net 1) (tstamp b4d27097-d32b-4097-9afb-f527350aa141)) (segment (start 139.65 101.41) (end 139.7 101.36) (width 0.75) (layer "F.Cu") (net 1) (tstamp ba0f307a-643b-43cb-8cbb-11218aaae563)) (segment (start 204.47 92.075) (end 204.47 90.17) (width 0.5) (layer "F.Cu") (net 1) (tstamp c2566216-295a-4e04-9faa-73524b8c8f96)) (segment (start 162.52 103.465) (end 161.29 102.235) (width 0.5) (layer "F.Cu") (net 1) (tstamp d467067b-24a5-41b7-95fb-1715274d4f8e)) (segment (start 139.7 103.505) (end 147.955 103.505) (width 1) (layer "F.Cu") (net 1) (tstamp d687ab44-73d8-416d-b646-cb7b57690113)) - (segment (start 139.7 103.505) (end 139.7 101.36) (width 1) (layer "F.Cu") (net 1) (tstamp de9bfa8b-8a05-4d92-a5a1-26cc3790f971)) + (segment (start 139.7 103.505) (end 139.7 102.87) (width 1) (layer "F.Cu") (net 1) (tstamp de9bfa8b-8a05-4d92-a5a1-26cc3790f971)) (segment (start 137.4 101.36) (end 139.7 101.36) (width 0.5) (layer "F.Cu") (net 1) (tstamp df62afbf-51ef-4332-907f-69a693354553)) + (segment (start 139.7 102.87) (end 140.335 102.235) (width 1) (layer "F.Cu") (net 1) (tstamp e4b018ae-2f47-4faf-b003-52577a1f653b)) (segment (start 151.13 89.535) (end 154.305 92.71) (width 1) (layer "F.Cu") (net 1) (tstamp fdcc2531-c76b-4926-9d99-487720385a88)) (segment (start 137.16 101.6) (end 137.4 101.36) (width 0.5) (layer "F.Cu") (net 1) (tstamp fe08b5da-1ab2-4e18-aa08-51441c234744)) (via (at 151.13 89.535) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 73b57123-0f01-4a35-8aa5-7391603fdb65)) (via (at 204.47 90.17) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp c3379cb1-f72f-423d-82f8-5a0434aaea1d)) + (via (at 140.335 102.235) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp e107a6ab-b646-4a67-92bf-a5b5a317be6b)) (segment (start 204.47 90.17) (end 202.565 90.17) (width 0.5) (layer "B.Cu") (net 1) (tstamp 0ed96ee0-77dc-4dc5-94ad-fef558f0d207)) (segment (start 202.565 90.17) (end 200.025 87.63) (width 0.5) (layer "B.Cu") (net 1) (tstamp 317c84e6-ad99-42c9-b3cc-878e85685a4a)) (segment (start 178.435 87.63) (end 176.53 89.535) (width 0.5) (layer "B.Cu") (net 1) (tstamp 594fc9bb-08f7-4659-a4d1-42ff52bd4046)) @@ -23541,13 +23545,17 @@ (segment (start 157.427 134.567) (end 157.48 134.62) (width 0.25) (layer "F.Cu") (net 2) (tstamp f290ecb8-7873-4bcf-aea0-e97572c9e77d)) (segment (start 192.405 94.615) (end 193.31 95.52) (width 0.25) (layer "F.Cu") (net 2) (tstamp fe7097d3-4381-4658-aaab-1a2ccb1020d3)) (via (at 212.09 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 06ecb9c9-ef9e-4dba-a5ee-4450a4db3199)) + (via (at 144.145 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 09763129-c730-4f84-b645-2440848973b9)) (via (at 180.34 136.525) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 0b5ccfe0-8580-41f5-8251-2aef9c710770)) (via (at 158.115 108.585) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 128d94d8-f918-4c39-8ffd-62a4ce80553d)) + (via (at 140.97 107.315) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 19fb3709-6ecb-4e0f-95e0-c1de98e0a742)) (via (at 179.07 86.36) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 1b7a90f8-18d5-4d75-b69c-0c58b0937921)) (via (at 212.09 132.08) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 1ffde0ec-115d-42f2-88a8-780d9b093d0a)) (via (at 212.09 99.06) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 2aa0101f-7aa3-4c25-9646-3dc3798d7f17)) (via (at 193.675 84.455) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 2fc43d2c-7ded-46af-9dcf-1d58d4f39614)) (via (at 212.09 95.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 31a6ebf9-e1c2-4a9b-8372-db137144a7cd)) + (via (at 137.795 96.52) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3589d48a-3c85-48ff-ad2a-a0433f60f77d)) + (via (at 138.43 107.315) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 384ad561-bfaa-441f-8086-b1cc20115def)) (via (at 204.47 85.09) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 390415c4-2c32-4d6c-94e4-a33c2fd93cea)) (via (at 157.48 134.62) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3b82b457-133c-49f3-8d4b-1e1a9622103d)) (via (at 215.9 132.08) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 3cc110a8-577b-49ca-8ef7-19f86df7dc7e)) @@ -23555,27 +23563,38 @@ (via (at 158.75 130.81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 41bb8dd5-3aac-41a3-8e3c-acda13f20751)) (via (at 213.36 97.155) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 443910f8-706c-4275-87bc-c0c7f5c92a5a)) (via (at 163.195 127) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 464cda3e-6711-4ff4-b8d2-eb704ce8c23d)) + (via (at 142.875 93.98) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 483d0c21-8aa8-48b3-a0f8-d6208d132e3b)) + (via (at 174.625 107.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 48db75d8-168a-401c-8af6-e860316d074d)) (via (at 165.735 128.905) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 531599ed-5840-4606-8187-030a3f503740)) + (via (at 173.355 106.045) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 54564969-9742-46bb-8042-648f400b4b65)) + (via (at 141.605 96.52) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 55108f7c-b583-4ba0-9a3d-fa6a513f7c4f)) (via (at 217.805 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 5557aa0d-b0d9-4d71-852f-9f4dd5a3ce37)) (via (at 196.85 103.505) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 56093c20-04ae-408d-8e30-ef2df4b743b9)) + (via (at 128.27 97.79) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 56c54459-019f-4048-a498-df634889683e)) (via (at 171.125 124.46) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 5790d053-2e74-4362-a950-15a5625ea62f)) (via (at 215.9 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 5c92fc54-8450-4e58-9b5b-16e7bd1c4f23)) (via (at 196.85 84.455) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 61e5d185-3b32-46af-9d40-65466d0fa794)) + (via (at 128.27 102.87) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 626f3c75-544c-4216-819c-f8cbb8a0502f)) (via (at 158.75 130.81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 732f249a-8ffe-4e5b-8305-db86e311f86b)) + (via (at 139.7 95.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 789c0dcc-556c-47ea-9c79-495607f33e5b)) (via (at 180.34 130.175) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 866c22fd-efed-4c4a-88e2-b360cd884632)) (via (at 194.945 132.08) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 89f111b4-9aa7-4bd8-8112-b3899526a39e)) + (via (at 128.27 100.33) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 8c678386-c6a6-4b8c-8ead-31246b1bdc32)) (via (at 194.945 130.81) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 8ddc4a72-6e28-4b28-9eab-30299e4db9db)) (via (at 194.945 121.285) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 8de68fac-c526-4f8f-baac-836e639f20e4)) (via (at 177.8 133.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 937cddbc-8959-4c98-ae75-d5248b78aab9)) (via (at 152.4 114.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 9446ffc3-fddc-43c5-9f4c-3def83e15713)) (via (at 188.595 120.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 94c761db-75a1-456e-a356-779f68f272b0)) (via (at 199.39 130.81) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp a0b49f60-6d99-4ea6-946e-02abfa2236ea)) + (via (at 144.145 92.71) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ab0a319a-608c-48c7-835f-27754ed95c70)) + (via (at 128.27 107.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp b2e25ec9-c9a0-4c86-a4e3-c9789083f50e)) (via (at 196.85 90.805) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp b6177c38-6201-49c5-b3df-8a41a07e12c1)) (via (at 138.43 125.41) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp b65e61ef-0b1d-404c-9cda-e08a94a1c76b)) (via (at 184.785 120.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ba39c727-cec8-4a59-867f-810247e61967)) (via (at 206.375 86.995) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp bb8332e4-7b0c-4668-ae29-c6ad1fc6f444)) (via (at 162.56 123.19) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp bfe447e5-9946-4c82-90c2-72014b42b923)) (via (at 156.21 109.855) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c0428f8d-a19d-486b-8533-aa32aa21ea40)) + (via (at 128.27 105.41) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c0b9547b-af43-4e78-9cb1-adbd15d2eea0)) (via (at 202.565 86.995) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c1616b81-8ccc-4a47-a3eb-a075812443c8)) (via (at 196.85 97.155) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c3c6029e-53ae-45e0-ade4-f0cd90259a30)) (via (at 199.39 121.285) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp c54f289c-2965-46ab-85f8-021c637724de)) @@ -23585,8 +23604,10 @@ (via (at 193.675 97.155) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp d79b363e-039c-48d2-8c58-048ea8a4b6ff)) (via (at 154.94 114.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f1cc45c4-bcf6-4fb0-8285-1eba95f87c1d)) (via (at 193.675 103.505) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f2972b44-efb6-4234-9eb2-c43d6947e308)) + (via (at 139.7 108.585) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f2ca30dc-449f-4511-a6ee-4c5140dd4ac5)) (via (at 158.75 130.81) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f39831b8-a96a-44b6-b5fb-02c39191e357)) (via (at 180.34 85.09) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp f7a96dc4-587d-440d-86f8-cb7e87bc2c9b)) + (via (at 173.355 109.855) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp fc2301f4-a954-47a3-851c-8ee545d3ea34)) (via (at 153.67 118.745) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp fef02303-81a6-461d-85fd-0496bff20c46)) (segment (start 155.555 123.21) (end 152.845 123.21) (width 0.25) (layer "F.Cu") (net 3) (tstamp 0049ed3d-4130-4a1f-aa83-44239ac52758)) (segment (start 158.115 123.21) (end 155.595 123.21) (width 0.25) (layer "F.Cu") (net 3) (tstamp 0266b556-218a-4b44-b29c-c3989268f95a)) @@ -24395,8 +24416,10 @@ (xy 218.21 101.88419) (xy 218.216248 101.942299) (xy 218.265289 102.07378) - (xy 218.28818 102.104358) + (xy 218.288046 102.104179) (xy 218.349384 102.186116) + (xy 218.414678 102.234995) + (xy 218.45886 102.26807) (xy 218.461722 102.270212) (xy 218.554094 102.304665) (xy 218.5932 102.319251) @@ -30541,6 +30564,8 @@ (xy 216.497488 102.150188) (xy 216.524383 102.186115) (xy 216.524384 102.186116) + (xy 216.553263 102.207735) + (xy 216.63386 102.26807) (xy 216.636722 102.270212) (xy 216.729094 102.304665) (xy 216.7682 102.319251) @@ -31355,9 +31380,9 @@ (xy 205.05022 90.474523) (xy 205.106237 90.326818) (xy 205.125278 90.17) - (xy 205.106237 90.013182) - (xy 205.096378 89.987187) - (xy 205.069813 89.91714) + (xy 205.107956 90.027335) + (xy 205.106237 90.013181) + (xy 205.060462 89.892483) (xy 205.05022 89.865477) (xy 204.99245 89.781782) (xy 204.970567 89.715427) @@ -31407,6 +31432,8 @@ (xy 203.7445 88.373309) (xy 203.7445 89.06669) (xy 203.750748 89.124799) + (xy 203.772566 89.183294) + (xy 203.792645 89.237128) (xy 203.799789 89.25628) (xy 203.883884 89.368617) (xy 203.919809 89.395509) @@ -33109,7 +33136,7 @@ (xy 174.936568 102.996643) (xy 175.03242 102.752416) (xy 175.090802 102.49663) - (xy 175.095634 102.432155) + (xy 175.092549 102.473323) (xy 175.110408 102.235004) (xy 175.110408 102.234995) (xy 175.090803 101.973379) @@ -33812,108 +33839,65 @@ (xy 154.888474 92.229838) (xy 154.883834 92.224308) (xy 154.827982 92.171613) - (xy 151.705729 89.049361) - (xy 151.693949 89.03573) - (xy 151.681656 89.019218) - (xy 151.679612 89.016472) - (xy 151.67961 89.01647) - (xy 151.639587 88.982886) - (xy 151.635612 88.979244) - (xy 151.63269 88.976322) - (xy 151.62978 88.973411) - (xy 151.60404 88.953059) - (xy 151.597042 88.947187) - (xy 151.545214 88.903698) - (xy 151.545213 88.903697) - (xy 151.545209 88.903694) - (xy 151.53918 88.899729) - (xy 151.539212 88.89968) - (xy 151.532853 88.895628) - (xy 151.532822 88.895679) - (xy 151.52668 88.891891) - (xy 151.526678 88.89189) + (xy 151.629783 88.973414) + (xy 151.628928 88.972738) (xy 151.526677 88.891889) - (xy 151.487474 88.873608) - (xy 151.457058 88.859424) - (xy 151.41308 88.837338) - (xy 151.388433 88.82496) - (xy 151.388431 88.824959) - (xy 151.38843 88.824959) - (xy 151.381645 88.822489) - (xy 151.381665 88.822433) - (xy 151.374549 88.819959) - (xy 151.374531 88.820015) + (xy 151.526675 88.891888) + (xy 151.526674 88.891887) (xy 151.367671 88.817742) - (xy 151.339841 88.811996) - (xy 151.292434 88.802207) - (xy 151.243472 88.790603) - (xy 151.217719 88.784499) - (xy 151.210547 88.783661) - (xy 151.210553 88.783601) - (xy 151.203055 88.782835) - (xy 151.20305 88.782895) - (xy 151.19586 88.782265) - (xy 151.119083 88.7845) - (xy 139.034455 88.7845) - (xy 138.967416 88.764815) - (xy 138.93288 88.731624) - (xy 138.912356 88.702313) - (xy 138.833639 88.623596) - (xy 138.752686 88.542643) - (xy 138.752682 88.54264) - (xy 138.752681 88.542639) - (xy 138.567719 88.413127) - (xy 138.567715 88.413125) - (xy 138.363066 88.317695) - (xy 138.363063 88.317694) - (xy 138.270881 88.292993) - (xy 138.14495 88.25925) - (xy 138.144943 88.259249) - (xy 137.976352 88.2445) - (xy 136.343648 88.2445) - (xy 136.175056 88.259249) - (xy 136.175049 88.25925) - (xy 135.956933 88.317695) - (xy 135.752284 88.413125) - (xy 135.75228 88.413127) - (xy 135.567318 88.542639) - (xy 135.567312 88.542644) - (xy 135.407644 88.702312) - (xy 135.407639 88.702318) - (xy 135.278127 88.88728) - (xy 135.278125 88.887284) - (xy 135.182695 89.091933) - (xy 135.12425 89.310049) - (xy 135.124249 89.310056) - (xy 135.10457 89.534997) - (xy 135.10457 89.535002) - (xy 135.124249 89.759943) - (xy 135.12425 89.75995) - (xy 135.182695 89.978066) - (xy 135.278125 90.182715) - (xy 135.278127 90.182719) - (xy 135.407639 90.367681) - (xy 135.407644 90.367687) - (xy 135.567312 90.527355) - (xy 135.567318 90.52736) - (xy 135.75228 90.656872) - (xy 135.752282 90.656873) - (xy 135.752285 90.656875) - (xy 135.956937 90.752306) - (xy 136.175051 90.81075) - (xy 136.343648 90.8255) - (xy 137.976352 90.8255) - (xy 138.144949 90.81075) - (xy 138.363063 90.752306) - (xy 138.567715 90.656875) - (xy 138.752686 90.527357) - (xy 138.912357 90.367686) - (xy 138.93288 90.338376) - (xy 138.987458 90.294751) - (xy 139.034455 90.2855) - (xy 150.76777 90.2855) - (xy 150.834809 90.305185) - (xy 150.855451 90.321819) + (xy 151.195858 88.782266) + (xy 151.195856 88.782266) + (xy 151.020488 88.787369) + (xy 151.020484 88.787369) + (xy 151.020483 88.78737) + (xy 150.851024 88.832776) + (xy 150.736281 88.894645) + (xy 150.677431 88.9095) + (xy 148.539835 88.9095) + (xy 148.472796 88.889815) + (xy 148.465524 88.884767) + (xy 148.407331 88.841204) + (xy 148.407328 88.841202) + (xy 148.272486 88.79091) + (xy 148.272485 88.790909) + (xy 148.272483 88.790909) + (xy 148.212873 88.7845) + (xy 148.212863 88.7845) + (xy 147.617129 88.7845) + (xy 147.617123 88.784501) + (xy 147.557516 88.790908) + (xy 147.422671 88.841202) + (xy 147.422664 88.841206) + (xy 147.307455 88.927452) + (xy 147.307452 88.927455) + (xy 147.221206 89.042664) + (xy 147.221202 89.042671) + (xy 147.17091 89.177513) + (xy 147.170909 89.177517) + (xy 147.1645 89.237127) + (xy 147.1645 89.237134) + (xy 147.1645 89.237135) + (xy 147.1645 89.83287) + (xy 147.164501 89.832876) + (xy 147.170908 89.892483) + (xy 147.221202 90.027328) + (xy 147.221206 90.027335) + (xy 147.307452 90.142544) + (xy 147.307455 90.142547) + (xy 147.422664 90.228793) + (xy 147.422671 90.228797) + (xy 147.467618 90.245561) + (xy 147.557517 90.279091) + (xy 147.617127 90.2855) + (xy 148.212872 90.285499) + (xy 148.272483 90.279091) + (xy 148.407331 90.228796) + (xy 148.465524 90.185233) + (xy 148.530989 90.160816) + (xy 148.539835 90.1605) + (xy 150.64277 90.1605) + (xy 150.709809 90.180185) + (xy 150.730451 90.196819) (xy 153.518181 92.984549) (xy 153.551666 93.045872) (xy 153.5545 93.07223) @@ -33964,21 +33948,44 @@ (xy 147.680451 102.718181) (xy 147.619128 102.751666) (xy 147.59277 102.7545) - (xy 140.5745 102.7545) - (xy 140.507461 102.734815) - (xy 140.461706 102.682011) - (xy 140.4505 102.6305) - (xy 140.4505 102.4845) - (xy 140.470185 102.417461) - (xy 140.522989 102.371706) - (xy 140.5745 102.3605) - (xy 140.724676 102.3605) - (xy 140.724677 102.360499) - (xy 140.79774 102.345966) - (xy 140.880601 102.290601) - (xy 140.935966 102.20774) - (xy 140.9505 102.134674) - (xy 140.9505 100.585326) + (xy 141.11886 102.7545) + (xy 141.051821 102.734815) + (xy 141.006066 102.682011) + (xy 140.996122 102.612853) + (xy 141.00362 102.58472) + (xy 141.007483 102.574998) + (xy 141.023747 102.534057) + (xy 141.025161 102.530775) + (xy 141.052257 102.472672) + (xy 141.054523 102.461694) + (xy 141.060726 102.440976) + (xy 141.064862 102.430567) + (xy 141.074155 102.367119) + (xy 141.074764 102.363665) + (xy 141.087734 102.300855) + (xy 141.087436 102.290601) + (xy 141.087408 102.289652) + (xy 141.088664 102.26807) + (xy 141.090289 102.256974) + (xy 141.084705 102.193153) + (xy 141.084495 102.189551) + (xy 141.082631 102.125485) + (xy 141.07973 102.114661) + (xy 141.075975 102.093372) + (xy 141.074999 102.082203) + (xy 141.054844 102.021378) + (xy 141.053809 102.017923) + (xy 141.037223 101.956024) + (xy 141.0319 101.946152) + (xy 141.02334 101.926309) + (xy 141.019814 101.915666) + (xy 141.008186 101.896814) + (xy 140.986173 101.861124) + (xy 140.984369 101.858) + (xy 140.983169 101.855775) + (xy 140.977379 101.845036) + (xy 140.965354 101.822732) + (xy 140.9505 101.763884) (xy 140.9505 100.585323) (xy 140.950499 100.585321) (xy 140.935967 100.512264) @@ -34057,8 +34064,10 @@ (xy 134.437 101.88419) (xy 134.443248 101.942299) (xy 134.492289 102.07378) - (xy 134.51518 102.104358) + (xy 134.515046 102.104179) (xy 134.576384 102.186116) + (xy 134.641678 102.234995) + (xy 134.68586 102.26807) (xy 134.688722 102.270212) (xy 134.781094 102.304665) (xy 134.8202 102.319251) @@ -34121,10 +34130,28 @@ (xy 138.675321 102.360499) (xy 138.675324 102.3605) (xy 138.675326 102.3605) - (xy 138.8255 102.3605) - (xy 138.892539 102.380185) - (xy 138.938294 102.432989) - (xy 138.9495 102.4845) + (xy 138.914857 102.3605) + (xy 138.981896 102.380185) + (xy 139.027651 102.432989) + (xy 139.037595 102.502147) + (xy 139.027236 102.536911) + (xy 139.024423 102.542944) + (xy 138.989959 102.611566) + (xy 138.987488 102.618357) + (xy 138.987432 102.618336) + (xy 138.98496 102.62545) + (xy 138.985015 102.625469) + (xy 138.982742 102.632327) + (xy 138.974975 102.669946) + (xy 138.967207 102.707565) + (xy 138.956576 102.752421) + (xy 138.949498 102.782286) + (xy 138.948661 102.789454) + (xy 138.948601 102.789447) + (xy 138.947835 102.796945) + (xy 138.947895 102.796951) + (xy 138.947265 102.80414) + (xy 138.9495 102.880916) (xy 138.9495 103.481358) (xy 138.949395 103.484963) (xy 138.945669 103.548934) @@ -36167,6 +36194,8 @@ (xy 129.624968 102.185055) (xy 129.626382 102.186113) (xy 129.626384 102.186116) + (xy 129.691678 102.234995) + (xy 129.73586 102.26807) (xy 129.738722 102.270212) (xy 129.831094 102.304665) (xy 129.8702 102.319251) @@ -36186,6 +36215,8 @@ (xy 132.724488 102.150188) (xy 132.751383 102.186115) (xy 132.751384 102.186116) + (xy 132.780263 102.207735) + (xy 132.86086 102.26807) (xy 132.863722 102.270212) (xy 132.956094 102.304665) (xy 132.9952 102.319251) @@ -36720,6 +36751,105 @@ (xy 143.2375 93.693345) (xy 143.2375 93.73) (xy 127.5005 93.73) + (xy 127.5005 89.535002) + (xy 135.10457 89.535002) + (xy 135.124249 89.759943) + (xy 135.12425 89.75995) + (xy 135.182695 89.978066) + (xy 135.278125 90.182715) + (xy 135.278127 90.182719) + (xy 135.407639 90.367681) + (xy 135.407644 90.367687) + (xy 135.567312 90.527355) + (xy 135.567318 90.52736) + (xy 135.75228 90.656872) + (xy 135.752282 90.656873) + (xy 135.752285 90.656875) + (xy 135.956937 90.752306) + (xy 136.175051 90.81075) + (xy 136.343648 90.8255) + (xy 137.976352 90.8255) + (xy 138.144949 90.81075) + (xy 138.363063 90.752306) + (xy 138.567715 90.656875) + (xy 138.752686 90.527357) + (xy 138.912357 90.367686) + (xy 139.020406 90.213376) + (xy 139.074983 90.169752) + (xy 139.121981 90.1605) + (xy 144.790165 90.1605) + (xy 144.857204 90.180185) + (xy 144.864476 90.185233) + (xy 144.878191 90.1955) + (xy 144.90207 90.213376) + (xy 144.922668 90.228795) + (xy 144.922671 90.228797) + (xy 144.967618 90.245561) + (xy 145.057517 90.279091) + (xy 145.117127 90.2855) + (xy 145.712872 90.285499) + (xy 145.772483 90.279091) + (xy 145.907331 90.228796) + (xy 146.022546 90.142546) + (xy 146.108796 90.027331) + (xy 146.159091 89.892483) + (xy 146.1655 89.832873) + (xy 146.165499 89.237128) + (xy 146.159091 89.177517) + (xy 146.158083 89.174815) + (xy 146.108797 89.042671) + (xy 146.108793 89.042664) + (xy 146.022547 88.927455) + (xy 146.022544 88.927452) + (xy 145.907335 88.841206) + (xy 145.907328 88.841202) + (xy 145.772486 88.79091) + (xy 145.772485 88.790909) + (xy 145.772483 88.790909) + (xy 145.712873 88.7845) + (xy 145.712863 88.7845) + (xy 145.117129 88.7845) + (xy 145.117123 88.784501) + (xy 145.057516 88.790908) + (xy 144.922671 88.841202) + (xy 144.922668 88.841204) + (xy 144.864476 88.884767) + (xy 144.799011 88.909184) + (xy 144.790165 88.9095) + (xy 139.121981 88.9095) + (xy 139.054942 88.889815) + (xy 139.020406 88.856624) + (xy 138.993181 88.817743) + (xy 138.912357 88.702314) + (xy 138.752686 88.542643) + (xy 138.752684 88.542642) + (xy 138.752681 88.542639) + (xy 138.567719 88.413127) + (xy 138.567715 88.413125) + (xy 138.363066 88.317695) + (xy 138.363063 88.317694) + (xy 138.270881 88.292993) + (xy 138.14495 88.25925) + (xy 138.144943 88.259249) + (xy 137.976352 88.2445) + (xy 136.343648 88.2445) + (xy 136.175056 88.259249) + (xy 136.175049 88.25925) + (xy 135.956933 88.317695) + (xy 135.752284 88.413125) + (xy 135.75228 88.413127) + (xy 135.567318 88.542639) + (xy 135.567312 88.542644) + (xy 135.407644 88.702312) + (xy 135.407639 88.702318) + (xy 135.278127 88.88728) + (xy 135.278125 88.887284) + (xy 135.182695 89.091933) + (xy 135.12425 89.310049) + (xy 135.124249 89.310056) + (xy 135.10457 89.534997) + (xy 135.10457 89.535002) + (xy 127.5005 89.535002) (xy 127.5005 84.205) (xy 134.86 84.205) (xy 136.500671 84.205) @@ -38730,6 +38860,52 @@ (xy 136.504722 104.139999) (xy 136.504722 104.14) (xy 127.5005 104.14) + (xy 127.5005 102.235) + (xy 139.77975 102.235) + (xy 139.79867 102.378708) + (xy 139.798671 102.378712) + (xy 139.854137 102.512622) + (xy 139.854138 102.512624) + (xy 139.854139 102.512625) + (xy 139.942379 102.627621) + (xy 140.057375 102.715861) + (xy 140.191291 102.77133) + (xy 140.31828 102.788048) + (xy 140.334999 102.79025) + (xy 140.335 102.79025) + (xy 140.335001 102.79025) + (xy 140.349977 102.788278) + (xy 140.478709 102.77133) + (xy 140.612625 102.715861) + (xy 140.727621 102.627621) + (xy 140.815861 102.512625) + (xy 140.87133 102.378709) + (xy 140.89025 102.235) + (xy 140.87133 102.091291) + (xy 140.82612 101.982142) + (xy 140.815862 101.957377) + (xy 140.815861 101.957376) + (xy 140.815861 101.957375) + (xy 140.727621 101.842379) + (xy 140.612625 101.754139) + (xy 140.612624 101.754138) + (xy 140.612622 101.754137) + (xy 140.478712 101.698671) + (xy 140.47871 101.69867) + (xy 140.478709 101.69867) + (xy 140.406854 101.68921) + (xy 140.335001 101.67975) + (xy 140.334999 101.67975) + (xy 140.191291 101.69867) + (xy 140.191287 101.698671) + (xy 140.057377 101.754137) + (xy 139.942379 101.842379) + (xy 139.854137 101.957377) + (xy 139.798671 102.091287) + (xy 139.79867 102.091291) + (xy 139.77975 102.234999) + (xy 139.77975 102.235) + (xy 127.5005 102.235) (xy 127.5005 99.06) (xy 147.934722 99.06) (xy 147.953762 99.216818) diff --git a/cheapsdo.kicad_pro b/cheapsdo.kicad_pro index 4b287a8..06df352 100644 --- a/cheapsdo.kicad_pro +++ b/cheapsdo.kicad_pro @@ -128,7 +128,7 @@ "min_via_diameter": 0.5, "solder_mask_clearance": 0.0, "solder_mask_min_width": 0.0, - "solder_mask_to_copper_clearance": 0.0, + "solder_mask_to_copper_clearance": 0.005, "use_height_for_length_calcs": true }, "teardrop_options": [ diff --git a/production/cheapsdo_2023-12-16_22-36-49/bom.csv b/production/cheapsdo_2023-12-16_22-36-49/bom.csv new file mode 100644 index 0000000..1f1961b --- /dev/null +++ b/production/cheapsdo_2023-12-16_22-36-49/bom.csv @@ -0,0 +1,34 @@ +Designator,Footprint,Quantity,Value,LCSC Part # +"C1, C11, C12, C13, C2, C3, C6, C7, C8, C9",0603,10,100nF, +C10,0805,1,1uF, +C14,DMS3R3224RF,1,0.22F, +"C4, C5",0603,2,22pf, +"D1, D2, D3, D4",0603,4,LED, +"D5, D6",D_SOD-323_HandSoldering,2,BAT42W, +J1,"PhoenixContact_MSTBA_2,5_2-G-5,08_1x02_P5.08mm_Horizontal",1,Conn_01x02, +J10,SMA_Amphenol_901-144_Vertical,1,FAKRA, +J11,PinHeader_1x03_P2.54mm_Vertical,1,Conn_01x03, +J2,USB_Mini-B_Wuerth_65100516121_Horizontal,1,USB_B_Mini, +J3,PinHeader_1x05_P2.54mm_Vertical,1,Conn_01x05, +"J4, J5, J6",U.FL_Molex_MCRF_73412-0110_Vertical,3,Conn_Coaxial, +"J7, J8, J9",SMA_Samtec_SMA-J-P-X-ST-EM1_EdgeMount,3,Conn_Coaxial, +"R1, R2, R3, R5",0603,4,1k, +"R10, R8, R9",0603,3,10k, +R11,0603,1,120k, +R12,0603,1,100k, +R13,0603,1,220k, +"R14, R15, R16, R17, R18, R19, R21",0603,7,100, +R20,0603,1,10, +R22,0603,1,20, +R23,0603,1,22, +R7,0603,1,4.7k, +U1,SOT-223-3_TabPin2,1,LM1117DT-3.3, +U2,LQFP-48_7x7mm_P0.5mm,1,STM32F103C8Tx, +U3,SOIC-8_3.9x4.9mm_P1.27mm,1,LM358, +U4,MSOP-10_3x3mm_P0.5mm,1,Si5351A-B-GT, +U5,ocxo,1,C4550, +U6,SOT-23-5_HandSoldering,1,74LVC1G17, +U7,SO-14_3.9x8.65mm_P1.27mm,1,74HC14, +U8,ublox_LEA,1,~, +U9,SOT-23-6,1,USBLC6-2SC6, +Y1,Crystal_SMD_EuroQuartz_MJ-4Pin_5.0x3.2mm_HandSoldering,1,12Mhz, diff --git a/production/cheapsdo_2023-12-16_22-36-49/cheapsdo.zip b/production/cheapsdo_2023-12-16_22-36-49/cheapsdo.zip new file mode 100644 index 0000000000000000000000000000000000000000..94621cc2cbbf0860b9f982c2a501b23019f66907 GIT binary patch literal 318861 zcma&MWl$wNuq_IM!!Y>Z?(XhxgS*d%ySux)JA=Es5AN=4+-+~%*>LBa`+mIl?^UHz zNvC_&s;;C~B^_mXC}>Ow2ncwHtd>MA+?k5=VrNK*KkYCOX#cDxmS+Dsx|ljJN*Rf} zFQAJ@3Ie9!6g`+koqS&-YW}&-Y6Y2cl14 zcZN~G`^_tNz}x3cfbdbm%-CbO@N0R&{BED`a~b%!x<0`3elPZZuGYtXDPtB~t@MR= zF&7KgG8rWf_yhsJo=nT@^L2ka=j$y%3fssRyvEJE+voQT^w?d({`$Px=)V38`FzcQ{QBtb?|FSq*bRV6 zCNk^;19o?Md|q1pUhaUq!^FOyPs~RB@1Te;ua^(tZvXp!_m?-+)v3|P+sL)}o4Cw_ zQ9sN62J=qO`%;d_od4$buc-5tN@wb3&Rqc8AA!Oo|{Tfpw;oYEJqzF`qy8KhS>g?RK+6pU*RLobltD=hs!lm#+(9O6ja{ zlkofaQ+yloO)U`4*Zmz5)7Hpenjv);yp;2Alg;E@KRWO?2l&R$UPa>E2Ej8CjE`m& zY(@WV=H3mEH@-QJNeHTgxgBvZ^i!OAIZmkG`M|wHVF zKQZ%dmKywDS)A{Xd9Mc^E={fOpZ_~bU6YWy@LNwXd2{^KH29le_TVQC7Cqv*e;e?( zoyJlA8jE=RZ6v;^i9*ceJX;vS14H!*#o@US@*W|DW`9(p|MM?cNp2{>Rrdg+`i2$x zUTE_IYC^W2_+!j!wsDGhcK73rv?28+KtwLO%W+5iv8SH%9|!x@+a<&szqE6>bDw^G z+``2#@F%Yo4a??YhO^z*UO|5SF44Oc_%7&ZeNOwgrD!?|*Y6!s)?-?h*C@)`$35Zq zM50vQrySJ=n+eIwQT>h1K2U%v-W{q91e6;BrNRh~Z%Z;n%f z4I@Fc{>Ota_-S3~V=5x4*(!6dEQJ44(uRy!SkS0n@CoDV?!O~-$+r>?EbR7zRpvS3 zcUg`P66fHcI8GN{Fq9^r_Cm;4#|M-kM=9!w>wCbTh?#zFmoim6q@^0#zOVDw*20(b zp>YH{-UQbG@VSbv@GrA)c>aF#ae)D&+K7j{{qt}g6 zj*;$b#?&ugk?I@gnLW6c=)FY{s0H@I)!cUzE@=aub_Sa(0=pSwv(fXRa-kz3| z0Z^Xrv9Q-?^NxJm?6V;Qudsp&0^Td^hn`h1!zBY<4y(GRE-OP<=E(Sg>6~$FPPF}O*d?9}L zzZ^fmubr=*_J8#G?JRtaup&A{8x#bX6<-Yt`o8rC@SHogolN*@c?T}xIYd4SI^sqm z&G2e&!kgN#OQ&h0LkpKbyltjodx|Z3q7j9~HOaPVah-4?>G(Rf{U~hy0p-L>bQzX? z1K0m{kaAj||ExLj%b&RRjeF{9kDg(1w@=?M@rUO-__^eK*H`{7CI9Q`*hRIh4GE2x zy7F09wHA(cIfZ|iS?KF(j95x7=gLF@jB_LOV!T*P{JlDiv;Q^VYi^^As#L-EWm}%; zdhTQF)8lmS$vw{`ZB34MZKM7I-BnNg5*G9Ql8v4^;f$JPJ#LkkQ_#iA&l;Cm)>9$6 zy>`t~Rg==EMJ3&Ha;10IxFOmx9cL@IpqdYm8vUDtn~o_j)_uzVgU(Wp@|Pyy!UYG6 z3eYa)q4!qijILdnDVw;vuq3AD*!JjYtzFe0H*$HPmp=LerPTM$udUW^xda-!y=tdV zB0jaqFX#DKR_i$rEd*=nYL&JIcs@4UToxGUjn%aLKtTzt8Y*1*JQ|g z@a+HCYHFyzI+0f>>qO7i4Yfje(hpodyZE(n{>w|;xu^}e8(-79Re5tpP1@18%7(lJ zqMkk}{}0t4pYDoWNwxTg# zgX8`0s*Mt!7$#Tl2;ZTVRis*qB)PBqNGKJUn9nup0>7tHt6x-00QYu#iDtTR(oVK0 z6-pOgROY-cJh4+UnJ2&SQZvU%?1=^3os)$FOz3+5d1?L}Ef?0F&)hF9|Jo4N9{C}m z`N!=H02|KdA9m6stLdh0Z4t9SO%tGHeF`B1iavO0lg>V_G?(*Ypya_4)orOnt5@-0 zGgkP?>qqzXmuKsz5a66OjHITby{OS9P6sQ6GA>Y86$sd4u55p!zv>F-HKs{mAx zj{t+UcBYsVt>;HOfj88c$_cmSgyCn%Vd_`I#X_X zQwwIbkDcKkW(emXdFx?)?8N@MljDh()Yg;g<4*U!muId158GjqS)omimNHQezt_uv zO9lD(1g^MRtDbD`%c`A*ds|-NfWS?!Il{bDMTcjUd7jDqcuP~})ms#04#U?YR8K_3 zq2k(*$e6W2$>O|Op}ph%p6HzN4NgVhPY3do3jiXwb?~NKL`s-ESMklo-Q)2@`%{CF;5#aW3G?*ghz9ORMT+O@`VCBy*J5 z%9Vkm=V3=zTiXhA1W?`0{m$5sVHa$L?q8lc%-#QTHJ<2-J#@6a=fAl^LV1|aO5nM8Ayi3Ie{yxlbXRv_q_F=NvS!f>%X zugihx#+TX$z~k(P=jA}m=HaghU(V2Qxmh=}-!bVP8MKW1pg>3*8RWHLnAf&iocd?<7oz3u!@gMD? zhH%%UzrUk{i+3w_ieIJy_L}$IiN2E2022YVpz)Nzt6N|#_Y;b+UR=QE`P6B_*SmxF zkmX`A@`Q?)|2~Ff%;$opiZAFO-_gWbiK)CX|LB!%UTIY%%dpo;=(X@m$Lobqjb|n) zGq`w#Qe^VF6B+-*DsBGhAmBL1ZoT()1c@X1`5#45a+KQr?$!6DVWmD;wlsNpA{vuG$l*3O*0zRA<=6U~R-gMB`^_<)I1<7ARBx-yw)tMYrC-+Nkz^6|H;_Q%HCsPz0NH>FF1hJ~X-e92e%*dYe-Jw2(cGht2-U;Wz!)mGn_fCM3ww?l?x!q$aXTd%_y{fKO_sfK zz{u{;j)7+;t<0cpR*c{(zBqrgc~%bHBI7(j6rmY03qZGsyZ|us%R4IX(*mu^cD@@Y zH1Z5kS>Xd@WnCoYaN(-h<~RkjPIcvvrvv5ls~4MXZQ~eq&LUlWD64bICNxh((yVNX zlak$5l^{~7ER=~_w|t%SD=8pHr<}~@Vx-n9vpq(S##p? z*?eJHAw6a?(($n}1ob(^Ri_e~u}=uf1L#Fn6_QQh-1Zn5o~@9#u|0BI3kL8GbxXkuK2A>ixc$h+a_CH0=90yeu#R&{Na@ z$M{n3=qT%c#H0=O_=6%O=B3L+`FjJ)wx8D$LBJ0L+@QQyc$ceW78ab z4Zdxz2R%`BSD?m=rcRN_#L?%imcOdh8&KM5uL|^hKEx8 z7hm3ncgrHyguf1%1AH>YoTydZ3sc-vMu&j*+6yE1k8{LYzl-qwsxHKrG7_v+7NY35 zN~Dc?JRi?90zQC1SCpPg1{a_vmZOF6uh>!0>S|*b{5ITVxyxF-Mw)0kaER~e-w129 zePDce=duX4U2&*X&7iOgz8E~F)!zwh88QyBy;RqDVR}>)4(M5$8==}*t+Wo(_kVeK zHu}74H`)Q-r=CJ#>zV1bSE;xj?@t+ho-EDvzdd3X)WwgTJgj=R&u>2^s4oKFUYy&L z9hE#JsDAGscx3OVOaNUlvaqv>rA?*Y<9X1gfsq@aN!F%3_Y`dNB}XV5bP$KV%TX0n zuKIGqm6p~(JVz2;I|KKg<-(K)E+v!}CN7Kr_N_2j&*^wv-Mj>wbuGO{-8e}G!O-TO zZaD>Bv2qvGW?LCBYF>;^jn4EO^Tn9r1$&}*$Hzrj6Gykf5pcPfB-6JkbeKm=*EHhG z*6!K;+}yf;^2^X`bI`a3)3zzp3vNDT9;u_v(1ep4^Mq|E2{{WK4S>{J2wJFrwu)}= zf!@NWW+o_l0`q=gvip1*rx?3WNf6#^s_ArpH{3cDmqqMulQY$BM*eJT2S2U6$NFKA znlzJ?hNrc+y7-8jX5b&7B1Z)sH=Wqi&o>;8O-#cT)k?H^%C=Q%=r3&3YEM^`;rMlS z8IY$Z#=POaF$*8-8P41AlCzVJBzcZ6+z_T4#PLVFr)*}C=tS>wO@`z+f4pynqIYgd zrhVTV@phDgcz-r?9~WXjP#vK9OHAjIgT4;>VbFxs{;q427$Js^1;Zstf8XlvLvc^$ zfg3~BFhuHXGKw=2Ru9g`to}-SOSGg_LclLayeDT>l(NU*MUg+bRU~;kT^lFMH~%GZ zaUiyI%y*W>++cq0Jma)mg~mo{^Uc=OfXk^aLuM6u4onAc$u#rIt6_T`zQjgBY$Zvd zYlmI!&Zzgd6MBOGEjhtX^gpPkw@QOG7#JSs@|=d_+1eTY1KnHF=+2|K&$Bt+gAgQJ zWS=!!5?vMH*3DSWvSa-cT^#rb{ zag0H$x!oz6uY!`%AX+PA=G&}v!=1N^jwMfs+8D>nvOM;|@ei(#9G&C1gs83U*M&@! z<4+%A^CgQA>bT<_!T zHY51y=r}rei!lSpm_hwjPukY2CkL`;U9VOnGRf_Vb{T%-HbB5GS(*S~TI7s3?kR3UBv2I=*>Y~WR30Q{WlVA zxB|2b2G-l1-7?WuB@WYsDQ>bA+-mkHw`(oBBG&^+%2TR`ysr{mew{|KHIK_YVysUk z^A3wO29%cq6a_xv#x~TZ75Oa`dj4jya>%62<5lkS*f~15+&x*>@$=be-T1#r?LiXh z(Pz60vx4s)maWvs{PKu8ufS++g(|GA`8Pjf)6EBBU6m9h#tIL(h9ldH_-d-ISUEmu zZZ`M--jg%cq&ri;%i4J!@Td6bhDAtsa-YfV3iL{sfT}P{%X;aSF|;*U9^E=L z0kpEVaB%%;vEm+Nobo#GgSVStt+Z;TnWSd@A*UR2PV5^M#+aSlnU>I&YnsdH{6)`L zY5endD;G(Nm@IGRy6b9LHFeOeFM^IL-SSSh3$6TQO^4nOBU3BcX-??%wYoMj<|l8R zJKj2zCH0@lWH#-R(sEIi>_vQjX0;TcL?)(Q+>?RHWB!<6`J6+3T?eJsg^Cj^HIIb% zWCU~I3ycSvncFn>@Q5mtk@{QjaI})I(<49l^-}7Y=*p;$t}Hd<9^GLgvfCe5x={=N z&(rE=MQQg|)Uq9yv<3fxBBHxs+0%)=Pj~F;e8#2>FfHr8jQ5N8n#qU{k*i~zSgF}C ztpm;_ErfAcBPO{M1D9Gv%baLGM(*)twn{?ui(b&TRcd78Sz0cYTOTyZsEndQIasJi_r8aIKdF)|tZyMjTIzA)&;`Wm}flXx93|HI?v5>+g z09SW{)2z#MVQ#w1Deo|@7p;`Qa`zUK+e$Orno%RxhOOLk>Js#=b(uUPpvv0#VWh}NmNj?epK5-5^qBBV&z*6;c=Wfd@jExc*j ziy*h=C!pfzVs~!%fzi3)6QVD0KOjMgC(y} zR%bWd{0=LZQP4WLrbs7@es~b0hA&EPq`D}5+rp&m}ZthrAV*M6{uk+RCfD=bGtmb z-EP0XJ#_0^r+Gbui48JNZ=(Xx{HMnfqheJZvGC~Aa*R?hF>q%HRAHN?VB;0pg_L1AY?$x|X+RQ# zv{(CM9-3*6i+=l~j~k6Y23VTb!p+rz`8G0|;yyCDy;$U*biA~^XiVvlw#j-Fh@g zn|g>;cE);}JM(QcI4!gZg^cNEBd)fz$?91c-42))FrHgV*WS(Ty#{^cb9O7!a?U>o zrwe|yXFDlLD$9E(%u7uy5#&6}<)6%RAj0rl5LZ64^f)&z<+g2WBIDAn)Zkbr;HaA> zR`moG+YdyF#nd#oLnYT3&l#GOEn8E(76pi~sJZ-VA#X6)N};-iZOK zKF{nccfdi#mb_1{u@`vObIfiQ611)$_rMaS^goJVvbUZ$dtmtUi`bKy)5)Kz5d%H^`%I2c>QWaIPua2#0(E*R*rb1JE#H`w4m z>F9oK^HBX-zj1RHl1qE@AI0cV)jj}G7%->;iITbv`YvoihLKz|aJ#eW$ddi=h@56l zw`cX%5`KLrtmmt{1zuu1n8;up>|y^|?+e9YoXb1c1fj((LM*dFpxKe0XRc$g*bpFb zzcm?2#eo0gZx~d%-Xl|{;9-GV*gZNfW7S*;Du3P0ESK+fY7*M&7Hn+nUV1k{0@osG zC(rr$I9_G%aRtB0-{)huX?HJZ{QCbSR>e`OaR$$e?}1%Q_nmE>Pv#D^Jg;1;c|UhK zKplDrjqU6nNgR3x0!^qow!(U$ zW`9=S4c4I@L8-K_{nxtqN1FSIzIZ95o;EIpy{R@4L^j5P2l`TIPK%U*uYY({9 zf2akBSW!1DcYyC47aF@X4Awfz%7-2b`{>@Hs4W4>WxVNkV;s>@?>-jMs6 zWiF$w4uYQ`q;lu~icaFghn41Qppz;4!}C52-*n|HO{Nf@7Fi%OnD-VoU(&gN52ha( zg!jbc7o!>p4Kqcg)yK25Wr#;Rn)U+n<4Ru`7Bk|zuoFrBg}PJ3by{yY^Ci!qg5OlF z@)IT_eL&J68SWae(O2H%8Y!P=cA=0)M8t{ z0W7kV$evPCMavC+z0He7R6ueHXVCwOzvnobgg-sxcS3C?UnmFib9w`;oV*(jwn&4Gwtm|Suc3MIy1mf)ceENj$p zzKXmy-5!kHLRc?l<*A>6Ik}U_#*S$fIYxTLcg zL?fQYIn}X=KMBZ31gjIFVIHOuB@VJn0);qACST=PH{+qDw&E$UqJH0rL~2NqP#}hI zITPmXqGtRw_7Xtz*zv}{%HshsN+ZI)G2kTdBv|C6M-fg&WRiMUKa~FFKZrTyqTqLz z(Kvhk9!-%SS#G|NpP$*?axJ8?uMHXB*r~)UxeW zUG8JJ`tu@b)X5k!%rE!Orjz39xlHKiT{DMov`1*m6p8Ap#I(5RT&f~}8u?Yf$+|oRIY@s`E=wG7>@~4mpLr z9{|@$9KA@^xBwF_IEDY!u0R54q{p$n<~Rlg~+uV$|usC{pB6r~d?!!K53q)yCI2O1EKc zp_P+8fI(`gV)k)owZn}ldz%!FNA^{>?qr;Id?P*&J19iT0t`i9&2K`p*Azo`<5SbD zbS+8rIjXXBVzKg21Jr9C(bP?s1SsgYIJf!!3*Peb{RWApyEOLNb+m~+4>|HGp*z1< zmfSk~wmIj|*Q(T3+f-r}N{mZ+asb&v0WkR?Gak@!vwwEM$ zlx=xG$-k3?_N73J=`tt{!&k{3zq6`l)7u;~>}h+FP&T;JdMXSdBcAOtz-jlJDvx@^ z&O3}o7Ia8`qfXKujCCG={@Id- zwv#M3YdSLGc>uphBS4BjeH)Cfy75)bg6xDSS(ZtuYGRk6C}AaH_47tjtWjhX9ZH#h z$%QW7iwR<}PuBDvJ&_d(THE&AX94S~#!loU?~i+DA$u-F7VcSaj`Tu%APSp>rmNdG zmzP9>9e51#F+txQxD=?8R`ggd=ex>^T~|Uckusn7wIq!FQg2av3g$WPz>i`EZFI-g z`JmjxZ#2Q9OOCztyu?3xMy=N%>mgdK^)C!`*x2piroVlBvkbILLCz=m91(fvhv;kF zhHMY~8<_R$`=2>$k?3T7H7bwB3hg=qPU5W*5YH6Wg?nt>Z~jht6Lhf%q~A7Shnjea z7mMT&-xf*nL=erY&>+?sY#9(}{$AtC%&t5+8BJ{0e-Csc9LLF?{l0-;tP}Y|6U5?nS;ABEIPfz4$ir;d@rtFu}dmG}?& z@9yky9FVb~GlwjjCR!&J+PXFa7aDbV8IgSeB2+XJ0c4$mF1tdd1%32ip2^H&{yjua zB%e6~q61es`~V(18Y@5B0sdYyb`Kk^d#l8k+A0+vL@Jl1!SPRBgtjGW)>bq{SboC? zWHDW>quf%8_530$A@SH;?shL+IFX%IM_!Yr(WWWcV19i?0u4lq96#dFiza$VXj@Z# zFnu;<^xVOZ7Z`#%-AobG-OO3S@TBiPrZ*&LGZ?5m9m)P=@jWz%9p34L+P2qyXPDt6 z1mm!w)u|17J`mezeK2>A`0%Plgn^Gv9&HN5yd`2h55Ot|IGG3^ST^HKCZMN>{3vYS zIX$MghdtDRw#rdAMLopCg%hT1A(6BSMfsS@;q4iMV}~o!vf$r?I>p~3DkYYWJXK_p zx-rF7&DI2xzql{P>DvfelC%)xmy_07wpUyS(m$Y;kK!OVRuHC>v`UPu$^U7p+Ywf` z$v@YyH>+16<4_K~IQq`9N>b z;c<~%qfuA^X19%>;n`;7XCLl=;xFU5LEwA$(l<*ct{ZX0b@SSznf{Z4BRLWnp8oI4 z>x(s!;lU*mpB=0-RcL|qB;RhzHgvz0um@S3*sudodCrHD0=qHwkRp3=qzB6!azy(( zL!e{%H;~6a5&ug5wh(jupVP0N6hV@=9PN_-gTl0Q{Src+L2o)LME6At>rHPo7k&yK z11Wwc1q-6Cp5u=#D>VcS7?K5p^r|gx$O88;vH#qe_z%jz2#V3o{{41%bP>H=IAztA znIBbwm531aZOwjPo(L>Pk9;C;-J3l(DOw7ca(P==3!ye$pL{B2>1F)o=|SSY9o6FK zuZIfmk{tVqIZIuGxRwlSPKiCX3&VI`GdF`XusrP$?NI+g5_pCEV$b9ki6@2Yd1R9)LcPE<{S;Mr52ME%(b z0=r!O3VD|CGMs8T9`MeEyrE>zik^xYfuD}X`2G{N#w4Q{gT^%NIO}Vw3)=~@Rkzm~ z3{uHevb~e#d&H+IHt#?WJ1VMuR(xVaN_`B3%#Nn0gt5|BJU82>p&V>v8rt=uyh!f% z;lI@YD*}%G0V-ZDbkMA$1Zx$IiipvBZXm zhN5W(YQ03!QFuSBZwS}?@IWn(t+i^73twG~e9$OoLJ=TP%y z;UJ98QP3a8ssVn|k?MtaiMoBC`j|f>*CN4qe-FvpG{`+kRFL=>*%gz}R|R9HyI9j>+}yZ}ei(1a0J zp>`R>r^j{eg-I~8Y$6-Ud?(cDb_K$rI-aeh#>GY?qxSsZB5srRTK}?{wGQATTvG*b zfIzGwiE&cK&k11PPo1&*#HI6gG_0a}%y>Bd2AxKcK&^_j5X6Hv=w4#=6*La^ai)K( zlFnvw_`-woD7Hbw{PF!@Z@UEKM%JiVR}lWN(m`HAU30xt8=YB2+Se=NRT{{E#Pqdx zDWjsg?Y{f9hdbG; zx&biNU%o|Lv14@n2sw;qS=DFy_w$44M3knRSP3MG>y0UJG<1L{OXCNghZweUax;HP z_k5Ej!j^~Dnkvd9P7Yaii=1NHp`v(N$Bm3_XO`&||B%v4XfL!h>tVDa---0pBdJV_ zHVr+oU#|)ELugc4e_8EYBH$GjJ#+)X$Af_J5>hR^3PRg5#uu#tSYGn1J2J+jAskf{ z=Ap)_=-4p0LItUGq2GGBlbIX+C`#zy+GVjcP1brlU}?zZbl3ajefz`41om1Lg64?| znEXWYzkV(?{g`l)4@UQv7O(1jqIv`uamv7_NsyG3s za55}@;6FGj@j4_+pI6O{cADbqHmj!6t>)e8vYYO*p`7wV0p$11x7pI0N4mP~C6?cz zvyQ)!_Oqf4gM`9>vYgt&R{VYLoKN_O6%bu{Mm_+Kx5i+wdtjV8!1h0Y8T7<2tSu^~3tck9HmL+$9r>gZKrL(;%684B69=;*N_7&nkrLbce>~+mLUF zajK{h8&lVm+@cA2a7Dwj3S!Iauc?IlwZ!mavwX>E*N#`;B@*&jRR!HgJ^x`I)V1O9 zn}E+6*-2#+^6a=CsqCjSH8}036Mz2eX166Go@KVl{+E?oSlI1?kIw-p&qj|;6q-wvRhr}v_dzBzZ8Y4&`qmkG^2eqFi zNpQ*p4fEfaXFOL$`pT=ja-c5GA=R*`n{+UnYJ$MVg5F`yf1#hnrYD@wC)IXVVsq9tsF8FNhhwf&hQ3?ij<1+ir$U&I3P%I>E(srRF-_3`8 zzSpbG%lTc&{0;?((2t4YFIM1)_8FIV{ZWJms3k<>f!(K`TJCqk^?m=F8TLqA%Uoma zDXA@5jdz>$6MN24cAy*N#^q=f#)j+qe(~v?0TS+_b zvB5Lq!s`to)2_hd$0|qww8#$jgp=BcJMf_C#hS)|pAycfp`V`e5RPmBw(mTX=WJ%7kC>k_x z47Vb7Pe^X(-Ll5Y)3M}MuVzl##w~D>-Rbrg+?~$*kQ3HHFwjT^(oIfcHV{*$72hmi zvFE^6b=;AOQ7lwBr9ob@2>SC=tbjLy+_kY>_XfvPyr;3MbOejbDhub9X6=uzuI`j# zdrPS4_Tsk%M#e`hH~C8|tMl~LA;;?U)eyy%MQ=~9e*rpS_E!HCQJ18v6c}l4mD8%i z2o%ATzqG6CDy5`2OMjB^H1j&Lt4M!ZG_M7wd|QR1Ua1kEO=>D7^i_KR?6n$Oy-!=E zr9etT%`Y;Ew{H4ta7YO|G6sP-XamS@V|gDdPiNQBU0h^R?l#DPh1mc>8n0l z5vvT0)VdcV&Yfb+rQf!_+hL!3hv-4iwL)aib=T@0Z_8*j8ZR zlH&L)Ca(_Twdcn1P-erUl8{A%U#mn|6Q^bVDt4AY4|gLZCi-z!;eEfvLw*@6b*)vA z)HKQQnMu6Jrlv%2ZGBC=C4Efl>CEIsWLwi7`vtuX$>gLM@SC5Rn}mVRtvll|;4#Ji zlzO{P%~8%s2U$f+)7^uklJb{uy zNFLZZ+@=S+%DZ)e75l*P5;UU?*%a;)sp$rb0QZ>W)M46NDAqL%F_2^sdQV+VyrZn4 zVcfc1SW|D^UObMWj?GH9yBEoojBM@$!MYTcUylAb2&5UHd;$jl6 zo-!-uGhDJg?Jf!HQb8^3ETn6_2T9>2?$mXpZ8&3+tt$HU7QJmvu3^W>)L#BKn`7fx z#3~2)D@^$4#{1r-6;I|}1(8LmW@#jUVX5tdJxUA^ruhFoLmE=_kWYmSA9DKVYVKtx zx5v&0q?2mEB5Wgx&a{i_4W`_MCs_v*F27lV`c`6tB zLUQz6@<1(=In`QTffR&VX*z$q**gmi+jx(YZz_oM#me!*+O&yZqFomigKW$kaS_PJ zyv7wxOF+q)n4v$Fj;k6g5(RgY#w9XMDiO=DrqAH-HbV^pv#+xdI?KkJ33a2#JP!v) z#xbEWFF@rb^Ddmuxg$SW+V39ZzOSP(!n&?=sGIx^qG2T=)k%Q-C)+jreIEYf zjKMKoU0N;)1ien*E69e@uhiueY=|o#7TrNIbM~hd53tWVs6=6ar0ojiiD zSCK!Vx_-f`$Nhuy+TrE>zm~qQ>Fqi94m%76HZJ~^M)TV7=>+K(51k8<&ANu7-9%pFkTQ&k zC++{_1>_jxbpGgI-!F065-U~r&B!cGg86^w-J6T6>d2T@>AYJ|PfGt9wt@$sW69zz z(lzVG1)HB1iM2KijLCFMdQ5ZA2wV1?a8mms62u)(8$!I3Dm+Jnhxt*CcdC#?&blQ>JdNR{uXAsi?i*R?Z04# zb-piA%M6SMe^YgAol_Fac01w%x+SrpW|I-2aM;&he0D-+i9oXb<6zg8E8YM*TCKqwJ-Ni=M5(}PIn>N> z$xmZu+U+3A2L!x5(YNdrSD`gGb4F6wAs$sF%Ha z3j)>bcTKTzA3Y&rQudHER03HGsS963TKutN9DMZeNSsL1J5v&smaN1_n=tGuB6v2(tv_fwOs^Iv zi~f1BRNY!o{|l=u#uG-I#$wIa{O~?A)QKZ5rMt~nd#(r2E5%aeNUa)1?&XS@?_kJc zCIjl>HVsRF~ z0rja~gCV@7r^V==!=0`D90*bH)LHY9qiWLHcO0K)ok?gDH@@?)z+}b1sp25;tKvol z7vKL1UL^q4GnO1MMvdC^#A~fqi)i;_Hm%3+k@&}z8ysEh@EuiQHVdQ%;=>(EK%`Gd zE|>%VR7Yx{nU)>D5@x*eduEQS$F)W7R~`;bI&1C+*-(>e?&Gt$_F}VZ;Z|mvnzfnb z7`QvandVNRTI3~ODh8>UsxPi4y2h}q(5`=S9cWYja z=%P!lt$ErGIP8jQ3!wISeH#`%;txKkRD4>!cHGOBHPi@!UGZum1sW$y;j6m$sG!lr z#laP(hna5R6IBw!@Afr~)hcHaUsyIb{1F5n|ytH-ka&)>m@Fu^Hls z_Oe4IP&!1t{| z_lKyD4R8x2O6;O-2yIxiv?*BBKu9 zo$m8U-yazqyhI^{y*gGi0$DD?JaY0rD+X3}zhC*jP0@Li(=XVO@1)!fIE>>ciD&Zv zd~GyQJg2AHB9qRUhF6PAX8%tplL3snYsd`5Y=P^n7TH?&iH;xqTeKMdaG2e4OY+F` zGY$?}7H{`E5#XnwcoYnODDzh5l%RG}_GR?P2R?r>nvP|? z{sLNAU9KA>p{%?6$OQmsE6PhL&k2=0QcB}g4^*HU$=7#oCg~8cuv|v6U3hFR;N<}9 zD8tqqMW8ct&ZNd(ote;!Lc*vl4?J$a-y?e8y8jPVZxt0+(}WAZ!6nGx9)`g+xJz(% zcPF^J1s{9{3BiNI;O-C-WN>$vKydd!4&OQd&41CWd$-iub+K1!yPIWSvZ>3Z1=N#Nz!IJ$VuU#`AtMjuaS!I9ZJL)&*q6S zyzu9VEjf9ip^Egsj(I1r18)Rjv#WEbhB!@~d4sX1WpPg&*8sV%+usg@9|Ia~Hrc#N z0&IEUr9KH0#Mz2LofpO)#N182x}nn8Z(2_BJ}T1)E=l@^eT^Y{_32Gpe&iWp(LJ&Q zRd8W0KYGtK;7$GfPjeWQWqK7t5k*4FW$Nt&uIpngoar_)Zm0mB%UjT1{Dqj``_)id zJ~x0e0WJ|Q8#Vadbk$?JrNH18a!Y+5r@(|lVEGyWa`-5EJ*cgur97$a`%=Dw+y$P0 z4ADTaWUt`KrI$dUnzI)F`$!Sg7K45!j1^(a--nn$ckKost-Km<%01`C@J$=&*D(P! z{X7sx)e`ILzV)S@=OH?dZq2B4JK>z#P+pe0Xa{wFNHCR$wt1ZUGFRg_mYfBze%=T8 zCBTK`L_Xr=!;*3WI#A;ry*XOgE3J@X% zirv6|A-a$?&^cjhnKco|cjXLwz}8y7`3&%oDAj8=2uF?4Jqwz3BFgihcP6T?tXgH= zk(>J;h>{&u#J6tCE8T2xk>i~mb?@(;o$mIfa-}K~Arv;Q==Z&%*7+%5i~IG?0 zfiT3{FO;DOSDzHE-Q(oihTz8`eh1f5TyQB;gospAo31he0oFIsd;YK3E~qeoO)4U9 zVH#e8TpH6(rX^cpT1wlHr)I!CiMrz7B)Co?wSzR1-mdB=qt&;;Z6B2e#_7zCtPTU! zGpgiuZXh;-;2oc|>yRq?#N3R4I4>gsyZvz)q4TDUv~&28)etKR!Qzb17!pp>OD_1m zkoOQP&7;gZCw{=qlAOb&Sf#M7<$lr&Gw13MRR#oNWu`2j9$hYMD;a=;u|;?Ewwg`> zA`H0M`Yaie-(mIDEzOJ}oB`b+dBMM7ic19k8|8BKW&qo61ZAE<06x?-A7 zQaOz}0V^IzxH<@)CzfK~;BGh){iI(w_39T5Ph6+T@oJ>6weJ7<$9Z);LRiEh>3fgo z_B!E{17!eD0T+U2*^jyeEu=XXtM&NezZM5@-mgA2pd@JXk;1K-u-re;sqs5|5Ps=0 zHVBe7IJS-cNP~MadB2QZ9uJpiAzr16e6O_MjI}tGe53&wdh^S|e?gMAWW}$?wT18G zFykh!eYuVM z&_ZTcfc9trny>Q!L3(uieZzgZg>!#Oi2;@Uep<}6g@FfQx3a2jysvRhUWdj5zH4Jm z-TjbP>BX5Q-sReP(RO&rgxeD4zB9Z+T9$6OiBsy}(iXDpD z&f>CWfWy$9?;B>w;S7 z*UHme{9mN6Qkb6EEmgYf0PI|T4%cJXQ3Fb%fhYNlJ&`My`@uj7RbGZHnv1GS4L?rL z?@J2NgndwvxRi0#7=r2ZpLv7HOc0Vo1xOw}!O*oc5|TckVJ^qGLF{NWwXnzLxEpqJ zRS_gtMYC9*x1SXgT7xVg9Q=1YxI- z%F@b}`~*u0_Dkk5;+9C~=U$yE^y)bTde+@OY_eborO1&ei;)Q=uIk2unC3YM;M!DX zVPhGHmkvml1Gdx|tVdmO`nf zdeA>MsOCQ0`&sqV`d-zvtULfrGO2rsD8lH{kI68T^0PLC1}K2?O(SfZf}UFX;o@aG zg;S-EY;^u-M;a4C0 z$(z2(xLSX_8gq`~EFHR^KE4JQ^#mG6ZYd;Dq9?Gj=~`t{5mPnZNyhYxh_X|}ku7|UR8Ee^ zHN*^&;sQCnQ#e;iWSJ1+BdGHeFd{mNqH5O3^BCrHq49xI9)e~KR93Zib0hQbw zS^cYVU7z8hxz29T`Zwkf7-EWt!O!eOrp=xKAB(R+X-#c_v}O{U03sD)lesxM?i$D|hUJ$GIF)lq( z4_%!}Glv6$4cnzd?E3Sz^Gm`aj$6G>4u_Nc)lQJbF;-2*mMZnSj_kZp@oV6x>_d(u z$S`m0Z$nL$LH!&K-0~UWn**2vO22X~H;S*J-KJ-_8WIjUPv_PpUrLh! z7H7i8TKC|B1An=kjJU@>o*TtTX}aEW9>5Tqmh(4MrmJ{Ga>@5%f1Ci9@w*qa^lJJ?Tk-7P@E&r__l!X@?x)ivECa94TRBX9$aOGdV|jju5nJ(@(G1QQx$zjdae8Nsw0%IQ&&Z zjd!O{H7tQ&O|?^^G1ohO`mDH|2ys-el_XMn-BQ3fLz4}~A^(^NQINi4z|EP4H$H60 z!aIKZX9~n>pBAC>4V3zlz@HX8`5Qpce@VrKz-?yIBXdN#!@nXsZYFXM)tvwQ3td8| zBB^bNb+uT;VQ=SE^8#VG=?n$0L>9wuu0)T10E_=Cr6i*yrMGH5f(^NG=^0v$qfl(x zr*|)7fF%hUP`Ilb&a>}Du?%iEQ1(wyQ5_qmAeiWWLU<-F76a+L@jX%xC1@{v*`T0h z?hV=xEJf~;XYXRi}rj4?6Ho)2JBj?3?vwyHqq^NnIMVjskOHa!X;GzU< z>)p$JxrOqG;X|xIE?WfZp08ekg?nmg5?Om89y_k}j|9W6Vv2rN9@>w1$dV4<-%AhP zTDvLcbQjd!I!IY~QO$dTT-vIiNg}sA91fp^fbK~EW8l$>c*{?=w+IXgNggiq%Z0CU zU%RxN8<78F_*#VpFCzQUnY=}02}UP4_<&~z1EaMM%YW1N9yGJAZ`K)E*|{5S(`ncQ zG{Gas%l#&}sm7tev`fkbjD?#X@(Z@Erl8`D)1y}MrwQR5YpY9ew+_G4!jgVK717N4 zWcW*W8{0#L=3EgyDP;nPWzJ{q7lGw2DOu;f4%L3xCj#yX6rUvze)a3YZM*I6upR`K zd^-ilgR}CN0ORDem5z?Ot31-qQu~ZIls6Fw#x05w!ou?f>Ef+p5d-{bR`6dS6uD*M zhz`|Y)R%8jQ!e&bW0wxa=?aZm2G}B?rG;s021_}!bl4KRxua&3Gpz}XtWZ(MNrr%@ zBCd_CR#7K%vs_U}O1t7`_(BQBp<{>kfP+gh^Y%KY4os*}0qqXmyW$AE68)`ONoSN* zy#(ew3e$|D@6fh!a)4>dXa<9HP9K?cV33XqrF5spWB$k7QhXWmgTV?*nnpGrGt=8P zYRIaupNPp@pen*nuQQ3GkKs;8*f*ze7g-Lca|)NkNnvB3;r(dj1?$-x8ge<3h5W{Q>Lp`(43=w}uTI0nD5fp}$%5VoGXJa5FTGa;{2(D2YrQ>emaE##@cND%gBuH+id?{@i!mo0=(6_J+!>LGVWJMCP|7 zb#`+GSC^W&;^3CCF7_?qole5>o@Av#o zED7H}BP6j5Jn@!#YA3}drrT7PuAIcFIT<$iQ9TyBRlqC@r@hrjIq!}~%fy|X{BhCo zo>Z=MWzL`oG?%iF&4U$&!;d>^$12Y%!=)tGe+-l`v^)UYTztU+wf$JP`f;S;kp_rV zj6fiO!r!1AcVSCnnxWnJOpfv&>vssT*KBxevC4&sq&lns$__jnTCSfxTzQRZ+=bE= zwADDJw~!8C+=sX0DTGnuFD-Tv=gaE+<668uCMW80lDFP-Va{VF&aJU z`NU3s64^5v9pk5!=US=XQ%idJ5J2(lDlo7wzgG0%MB@55H6^{bGLn@Z1~>UNMUXO! zYb-+H+O}2lu|P`Q(;>;O=s#kFZcmK)g8A!dpE1eNuldiGJGO{C{uHkG7J4ct>(M^U z0=B?da)Nr35sFTkx~-p<|GC@K%!s48;r^o3Oq?OtcBgtK5JvVh^Vt)n+>O0Zdltr_ zvR_y5d*E5WuBMa2@JS>%obfzJ)3&szpmE#|$Yqtp=ndZpBBlu7+FUx2+FChCBe46O z{TVSf!HxWiBl@OAh~Z~4Dwp4up)=~;j3H@ZPXokw$kg!1?ZM+~@(mNjMdU6}Sd*Z? z)l2NIa6FKD$d}8@rcDNA(+2~7&rmkVv^%U`uchTA@)9J5^YWHQ`*B` zpwx!v{+XQ-bsHD64XS23JxzCCG}0)TkSk6pmXb-{Ss!gg;!aP`tv$IB7rifUKd6Kg zt%pwT)!uCNhF@UU9O?4ymi^<_E7q?U*B#|61#`~r{Y?ujS(t`pu8?2Yli_j-_MJ@a zv+sCoHy;|(y^EZwT@pH%0AcT@R1i)f1sINf7qFCq zw?UX$#Dg<_z^ee*&j?kC}DEfOa*@fQhpE^$XEpM>>7~cr%emdv6DYM$Tmdv}i z&^-lR67jGqMqTmqSgy9P^@^Z#@t(&*-|?vo370_nnD?Ztj3B3J8A0_{PK;gHDaG92 z=UH3!Gw?U9mL|g5|DNc86#PE@^(O*hZ5npipWJ*36Em9x2|_G;nF-Q*bC)OSX#t2S z3Th?+tC`fZ(X;!P;j|}B7sE`Y?4mmiv~TDb@a26KP~nVcW!rzZLJW!smdevymyp(J zTB_OfO4=EIq7EYV=pR%P!k*`Rj0Am8nej851=J$@&cK=vAOp0vz~&uYlNiWN8|5Kq zfK-=6kjk~UzL5<U)}hSa7%pB3>%El+2Qd#yX~S=>2K9iO(|1a&<(wE=A@G zzqZpknm<2RmIK%f3_i9X?JSEsyri)#AQYJ>bu-n9zrg24SV;PTd`CXhU6usifUpW| zlntbIh9k_iU?VCR8z9N!2^#3SY18a!#0!ud*#7#8%gNhYj{Ofs&$Q|W|E7RRz5QFpjyT$)fNH#a$JdJ0lME=0@8AE^fTfhZfn zVgte0C_tH(?RA>iEn#;1?o$HzUr4S)%F)#MY=B56+ernS&t62ol^u=Krt51U^&q{BIqX>TRFy44gP|9OgQws74UUW7I0J@fh3DXFVh zzvtHTuN8~WIT8-p{{z_JRow>Jo-9y!cfDDN4Xv zA0|o>f3jWxQFu4GcmVfB4}Eyxw`&wlb~JR-ORJ#-+@%{Di1#cyL%?bxBz9}3WJyql zYA@&$GV$RaR)#LT3)HkDea2Y>SiN+K!k_2bwk!2N2<$|ZLGx*}>-jgnUX0)O^2VJ& zm~)cJr5~w4Le%K!-s0Gb@;=5iPVV(Jf;GBuyJR&Zd15ti8<0#SbE@ zBoh^Kta4&M+pg8+334PDrzY{yb!=UC`tFAj-Dje{Hzg@n3j1yeWmH{OgMMQ(rM9p| zGy@f6G)ZnN)h}TI_T=s@Lbxmw#1zV|x%Xn*UQ$jX)i#)`#_wsl zv?rqzj5x7k$u-*&zd3K#SbIelGC)@qF;~a=mXe75?d4%{QnHm7Y$lxo0;UcMexNS^ zW7ngu(za%y?SizAy1~&9TPUd>uDL4h6C=|%-&A-W>UM#-T(CS%O|c9#|IdO7+1w(* zqi{k~MxC^-z7#9S=HG;b`jGerjlCQNsG(Jac}&}>V3gv=$Ia&-d{tw5MDoo$uWF+Y z6!)Q7XX*aAjK&8sJw*4RHE%RB>Cz7xAJ6_lHrdYpoiyp#r3&R4-oIb?Bgbk5_uhII zXTxvY{1^62fA+6QSy}uqu2%oc2kL?ez7lzpc}N>Bu)CUX@&d>0pX+G<$$jXSAoy7v z^00LNkJ?Oi?Vo+?_!Y_I8AvdpSy}q+k<{_!6-PwG{~xNqu}v2|0fW`46$%pRVu(ME zWQ|43Ic__5s>^mo(v)Lo1iBT`X`V`REpma`M$R`~?caK%+nagn1T!N-zaxoQ*MieR+<9LT30*>+5En-JXNV4iA{Ru`Z@Xy2s zE`WnHN`*`_PjI=>`HOF3;x8#-pUtgS^PtY%7C|C4w>rt?+$AW z(H#U=`vS&#$>Vrk`rUP476atJK_Fel;(|e>{gXo5s=+}Aw~8nP8D#0$kkDzhWTWFpC%c+*o&y;KPzCvHfUgBT0OwUH@jG2GTo&0+@xs1EV2>a`n5Z+SnlCoGHMD?-673YP|^bOieu?ktV-rN$nKQmXuS zd4GiAvj8;zJ1L*KY#LncUsO%$KU*z@xJ;=L8f>$3__O!N<>u4@1aCHfk`9hr_|qBT z>4}XhAJG!r;{K1J4JI!y)n!$oh}jnrFWQ^&H#^altw=%6 zZMauSq^1!yPmAD`lwttEy8SB}GR=ZvHGgvT&ti=&J`CDTe4P4VH|Ke!I-S7*$AypVyJAmgGW^AMbyRu$DnW4B>xIez z|7U=a)t#Aw6=(H+*L37`c6q&Qy<4W@<}eQH6)Te3;q4rDg6V0Y11`}@BO3Y-Ib zOF?9B8{-?WgNZFM^t zHTu7d$L8H4U?a_bYxC2blxET_lDx=ZthAi#){#mf>+tX^mM>eYE!T?;`Vh^ z(o(!GQ+8Hxh`8rsnSe zS+WzG@S-g{_Zxx$)S=<7oyClGeO0_k$r^_zwtm z9ke;X2D>y&$`oAn!?K)?HVHHuQu(r@dn+kv7(0?D=agvVeH0t6OV(p|Nz>|6!-{M{ zwe_i4fz&NPb^5jA8B{${H+CQgS7{)?za+51>zzlG~4Yiy(V zC`PJ$ds;8OXc<@l*qA)!u0t?W|aB4M_ zfgCATTTwMhhZCCkYf&~~W+5Dz6i=@Fx*$_wBWFYJdMH!p@0^9bv8um27iXh!?@)Jm zk?i2!e{ds+*?jvQn&idvW??5oYA^Id>TQJWxu9_*>;5@(@{|TRhl&LrnOG)0c_$|GI zv8NF@1M<#@6ocYs^w-^0u(c(inck?QSybiUkpeZYnfRfds?A3u#>{j2+|%gkegi zBwuv(*p(IkR*o}ggglQU`IVlCKfzr_&=r}esI8a0z6fKib7YC9qa|qH?efnJp}YSw zP|-s;{&koTDDS>fnA+ zXoiD&t;?`vl&Tg7wP2*eUr=$nhRut9^3OOts6{PO;m|z8xm$Y>`PU{gBqU8ax`jk` z9QLu4vT|DIE#GRJ>|7@?1{ zs{GjYJL!wqRDXoTDdj^gszD)gG$5b+*$tEo{?Q}>*@d$VNbc8S{BO*%7Xw8G{4x1LEi;TPb@_Q-D$Vb%;hHl{_?%ah@p8x&C0vOWsDX z?hRl;2U-kjK~-SZ8>giM*#7uglMv#%vn@X7!*zIoH)G(~w6LT8?!fbxY~3E}=(Pzb zj?u${StDk1FY+4WN8KgloW|5nQVI-<-m4j{YIP2g!W4ZiWc(6#pC@6zGi zf??05F8npY6?@Dik;?C5lJ_Qm#ma2`CD|+CfsdA`G=E{F{H8B3w!*c)FgA?!!CBn7 z{8MlcCZ>Fg6_ML9DE9ovj8|YBXpfmjkM`&LUX18Yhs{fR!4Lc^(ewSHQQkOC;4vLJ%pS z8l83D#yZpWEUM^XQ*^z`)KYy-NzS1btWn&tiCU%4ZdvTc^rW;vh`=z}s&Q=H)HlIC z8$IDj0Tu~NE(R!)b00_a3h%M=82cm+n6rq&5oHoxG+pxDyU{0odcvT z<84;Bblpj#4aZ5Se<}`T_--7~{;8`tpt~z}7rn=-5va2?8_jy`6e)V@94(4W!-Wsg z=Q&|IWA`Kq8Zh0cD|_*os}EhLo5l$2IK(ZUL4O8$q3x+G0_isnah>pvbgw!0Ch~kR zcmh|Tzw(Hva8|2Y@J|S-2=Xue{<}`>l`^zSidi~yT7qn^TZSYo#be7K>+KV8$o%o3 zhPpLgd(w3EUNd?$UPl-uJWWhWq~(72OdNcGx+1grXrCpy{@4dw^bPR|-ZIRL5?VM6 z)8=<@Jk3Ht!=5Q4!aNSnj85aSUx3f7 zMA}Iyy^e#ARt7&?Cwk*|JAM;L`nq&5y=Z6bApXq>y$I`@PbJ8s*O+}#n4h=4XC6Oy zQX0m%tRivq|9b1g+`M%jT)Iy5XW=)$Ox5LW9KJUbuXHn z^~ja?Z;}(sj^6hD`=7pHWqIq7>y)CM=)RZ#-@E@$hosNTD%ifrbN^2pKRLrm7s)<8 z(ayv0Ix+dSkOCWila>G9J%pyy{z7GTBzIBKM=M{Dt+S#RQfb2vJ<%8K94_F>$XfS; zm&0Ai96!A&vXI==_nejL6KGbJUA?(*2YT)6R;ve&Tg(P!obB1?sr$Ys{RGXf@rB2> zC|p-6`bsPl7kw>|z`j%ZxJmK|$_ft_EC&L%jYgRC)SO(+ZoZ2TguLNM}t zVdN>8pc5HA0ydHWR6Pk2i%_23EGb<0m4)Z>1a0JIAkDi;6I!gxB@o$Dfbh`gRWBQP zxK9#P1O`o7QCSs~mCSrB7}n^FEy3_NE|?e*DX$o7+HSwPWcN|Q>qCjmbv3>|!@x~j zQAOnB7g2}wwL%iko)4y^)gE5knq~nF05N+{vaCP$4ZEx2grv@8Ww7&n6_6~o{oG04 z>o+V}1M%`x*z+rvMsR2vbVkogYHl8^u%=ri2fa-KlS!}2TdcIsx3wXrc2-yE5V92< zQOWIC+H7NI_B065=n@?^oozGtISn#5CO-Q17N<(4z+l<;$%JaBMK57`sEQ#d_ z+Wmh}H!bz};upI@EcGZx#s+GT(w4u<{|tE-T2>k35^?GAA>TA5?_^L)Q+jmV8$E&3lrk@fm+T*ZEmKnV0W66aOM*Mb*bLoAH*)rh53wL6^6IfuF$ZBCp%Hq)0i&0xwr!?hi zDE7M?WHs=!+_`XoD{1&~CO>#3k%^p;q(NJ0Q-J-)lta{QRBs{TP9wgS49$Q?{~Vpo1#KRYRAA#lG8iTJ!)iTyeO&fzD911~6WXQ5r`93kfl64wR8C*bY zGF7vfDGoXU9mA_h55lId9>Je{OgsPO*K_x^Q0UeFNt@%B8h%vU^ZbvzIDWSmW>`t% zG1P3+4A$kY-qCMd<9=02=Jma4uG%WEJ-mCJUW6A=-SeKaTbO+aBs5gv`aEmoeYoDd z(sQ$fA1c4LIJ6O%ay7p=MD29OD{FD+(etJ`$5z8^_uo)(V!Z3xV$e)< zaB$A5vSj=H!;F;J1JK>pkUTjbAOy)$N z^*+4cqc%n2OeW3vZIU)%<#YWS3vEguV3l~Z8|N`SaJv(4v^zOwq;@Uvp@zcae7nFS z@leKcGu`pbkIQ8n*~ZfAOy^QsB0yn z!MWujuV@p~SCb9-Q|sxgwtGeH;ZTSj{b-cMKnmy$=j1f304f*^dC`?Ig~i&R{9U^F zGrjEpRFW=}94SOS{}L_6Fq3MR62Abx*`sch4e+1~%cCeRmRD1?E(pJ<&7&X`(~ZB` zQ$!FI9Fey;3BBD@^lIWjcv;jM-*$Qz^lmP|KZps>KyQ9O_oe0G8^C!(u}5{J@L!I0 zwy(a7#D3t=#n-e{zkiTx`;Ap3wk>J^5Hoh!cy)i~bGo*1h{vYGor3|>gXU#qrYz({ z`h~iif&L2VcY)vm;JvkA;g$q>K#)+OY2i9tyPFOVb5^{eN0!6(vQg??_t}#E4Z=(I4me$Z%C4Fzo@WA++`u+b(A&=-AI( zRDplT!xR{;8@kI~{G6j#)_cWa&!7PGzjm)5x`DlG=kgA=yB{e6R*F4>Q>l%W0?3?#PkMFYGQ>eMueE9^`+P-Dz@GS@F&2#~1 z1-$-cGq?(1q!LxSA!bNadueOf{Y`&)Ft@@6`pc)|uaNP1+`}W9U8@~-+;AZ4&X=m57Jgq2f zpP_8na=A~z*q@n{y1u~mwn~jILQ$@$(z>p|WZFp|^aw*Dcd>b*S}J5M6BIqHQ2b(! zrkDO7DMd5$rvbLn-)cF}6^iN_O7k8Qvzh@8INC_a`ls*ob4&NQwva~W0S{-6m4{Bv z9lF$6o_Vo4bXynM22`5HHHumCDqNr`=1=8CfVtbWAhqi;n{L4^Gjv5%GXF|4yh}oq zNCMC??d>)H={rTnXI=o_FK?3%$QpfFh%OK6^frju=HLhWc81M<}lCoa_a zFBvx16gI6|rPDHb37ARLXLnpUMj{+fe{1$W$|tzWNh52Lg>n0c>0u7q(?Q?OeG{3p z@WZrD`5Hu~@prRx=4%g2pHMxT|GTsU!MxdNVcyuAC}}U)Jp?h$y0>isF$&lYxj1_~ zsv6avbf2m{({MM1Vcs>g<=(18sJ(pObd(DX4|Kn|oDG9zm1*3W(JL!vVuA$PXb>d!gP|4#DFN4*Z)=LEoxAD=$lP z2Yked+%rF`Ln*m8??GqkqbM18pV4n_%I(h*_1LTqq34u4KA7CS7Ay;6T-3S(hE9w0k~_NZ$&g#k%s>Zs4~|Xi?QY|^f=W+PRX&}0 z;#u(+GD@d^4ZQ4U%Gi#4GMGXe8q926UB+{>@Ja28eZM2PAGG9_*-Y21W{i-s29Fck z3@I!ypu?uHeH^DxG~|BUK@kcShfT!yyLnfJr?-xG{4jTe%S+*sc*TrY(WjswzYwZhB)Q{!mo6wOTcAvb?YKWNlV$7*F ziu~!SEelQ6C66QTMoKznE}`@%)5AlSkZ{vImxEoZ85x_c^x7dwujodU8dE<9rWu-(yKnO5d_@&r{!WQIBPKg5+bb=RshP zw>4!JS+$z*_ut9q7cCsSvAE>AIK6vYSm^NWb*yMm5B|Cpi@Q6+aoti% zvH~bNN*}k6oXKMOzGpQmx)_hCUn}0`Hh{cc6K9JyRCMLA*zXjcv4A?Y>|`zbY|B6l z0_}ldCTO)F_?!MMKN8O8AP_=8wSxe3@fbV|M)UA!(;#tt|B|;56lF|vT`%IMV&r%m z8LMw7QMT4Ja+hk`H&?FwnSDKwNTiqdhyv(&M>Ur?g(h~=Q)=@o%-B16OZ1jn$r8|p zS9=JycnR$;Pg3m4qgMHPsBJ+S%zRm>uYKF=UwKqmTyDP{0)M5^-9};aW4@fIH=#4# zY>9m+CrwFz8?IC};c+DLp^J};o>uh7`_~!MZM5erb|LdA=*1F~mfc@x8@z1;zR-mP z;j)7~52^sk=0A!-sGACv{qY}R3{=6M|3!kzMJthhzeU~M9`=Sr$!G}{6rnnc?^Tt;iY!1dti}3QS-$DiY={hKeA6vx*yI`$^Xr!`L z&P@W(*Li1NjMU(My&2;YcLzN}HF4amAL~%L2vs@M;Zh&Vo0;tWN_%|10MPFA_u0YD zToe;{KrDGXc4baehi5eqbUa-Ry8bp>9Z~L*8#~Ud-BP)71bW8$$x5{zaD>aT=DJX1 zRAzsmmJn5~?I1XNZx4qc>A!RL7CQ`S~_PW48edJTq zrbJG)GqqOoKKUNkx}vUmk*mC{MPcf^xc7 zSy7QJqj6|QQb&&w-D|AsO}!bgo}uPW3JvO}m&&Ghn}s{@5ZX?mTw3YK;H)%OyRe^R zOj*3mz~{8XJblz@?VXX0r*MBpfDXAJv5Gjxq`vX$M`C3g zYhBILXNBKTU&U)aP)hqz>W3D3S33lae-9eLW}qd%u{#-_28`Qaj8o6EUx| z_mS*bF1X96|63?2x%MIo$Lm#HT;6`iKwBU-poGGVeEdKym%JQ0rL&WWu}OaINdo5O z$jUqj!0G?q2xv6)eK(60F4kAjISZ>+vOuo4Y?M-FZ*ww7*k99KI@%g-yb6784}-1!Zx#ArJ$TQ<|bXWsZPRSgd$|8BKa*^ zmfUrZ>tsAt?he&nkT#UE{d}5_s~#Gy_Dt_(`Bc_^{zyDLYLFUPm9eyO{FGRF@3la? zxabAbwo~!g{bemG;MNbEKv>~XtoJV&gF7a_Ao^eXxw8psPjGZg0!1idVqxw zBHPNQtC)r4+%@7RW|2AfFO>Zzw$cxhCQswr8pUX`){p6HmED`a(4|oXSENP~J@;mc zj_$$czw3FXP$IMxJA17z$5_iVkjw+Ea{YRW?nx1Gc80}CHnOtpL2BxWK2cz^iQwU4HL zqp^_(;~=><8h*v$($8d7(>nErjAkiyvtKJ%$e5i`1MU(ta)qHNiNVAc@Id&-PvVB!q zEWD{pU-&D3s5;RWZ6S7=0_a_f8_h ze;cRVmS5-Q7+gosew(@bLtBuWM^f(f)XV#jt0Y1_mO+QC7BMjI6Z#-pz>I?5ubW8O zEVk1^Fw2l z)3UFo4*`@gW>%)a$BKF(t@rdudYG5>OHI$N*4PMPwq0M{Uu6}-WduLJQ(b>}`-Js^ z*l!-a*>5i2+Ur{jIe9GtZLrEk-g(b|ymimPjHvmdWi^UA!`I_n6!AJdG_b(CYO;04 z#iL5Gpg3ETV3OaCSUIvHOdW5+5EGiU0s-_m^I1cNsNO@TZ!UYSL!tgnD$Z~)5jXkO zG@rI>U8W!22lS60EqHo=-)WNF^({u{=-Zsa9i>#u#eNSvN<|MD88RGl4D*%3yDAK) zc%nNIf6D$_g5c)>Htr>qgPh=9)QzA(n2LxezzURUeH2rrvo>4qd|eUFnetXGPJ15T z7MmDR#`^Pnf}zrHIcS9~CTqmT?+KtoErE_au@d-2kw^f?ck38~M!r=lsSeF%6|#@Xg{%Erb_%W@AcsMi|iTq!y#=k$#VYQ zlL}TSVz*m>;g+r_FUr4**7;PWlnVAInw@**;*J+Ayi>=?aEzDqK!b(g6NA7`Ff;Dh z%D<&-U^|$ZM(UP50~LuOjB=+jQ9ANA@pqHs&$(d=wG4>Xd_9vcaD4a0itt9lItelTS%UL?*Z*sN1 zO_Wfd7v4R@&d^@;PeyqDcVC9F#Z@^k{nvJOx>Vfdo{D}Q{DR_L?sfJ<>i7JA7}Fbq zL3;EwygaJO(hS7hNSwyix)%uUP%K)B$)RH%_!HfdMYow<<-h#3bD;ZZ5PHb4R@C9= zcQgtzTA`t85yT_-{-HPTQioDPZ;XtTs?mJSFb(=1y!HxRU6dCUN&)xT)w+N^VmD58 z$$qP>*jppH+|8k0SQ`nW_CQFrZsps6KA8%=_&4~k_g;_ODpaO5>%o50I%C)VHSqOQ zM|_xOgXxvxJGtIzWzNdX9SFs$~edS*(q1T zathKc>?1?tj=);Bp=#tmfhIgqBk|A=`RAOX!}VI`IHcRI5?PLY&!R1$_39RrlUL2v z$Fq(4^{X?DRf}_tIuirAiID5Fy`04UDqZ~6`5^~ny_wUAlt;sA^Qwuvy!$kZ<;^Nx z58L5!wCgEWzB3-Js|nL3^Tvg^uvbyi%r>IWr{o=8Jsung-QG9)b*ty>+^zr0OwzQ;QSym`-d!-qZJfBIQ}dR;C2{ zY47riKOR2Y3yeXk;#6M3c2Oh0_@z@l86AB+`25duPvRF z^iCbkY*ITXH~$ysTtE2J$u1<~&$OOVKXEJog0eogijWmuYs*f%4F4!OPQ=25#Ykzv zjtaQV_KV`(2wPBqL4#B7QuOlCv{daqvb-akngUW>`fZHDfl~j6r+<#FtZ5#{(b%?a zCllND#MZ>NZCexDJh5#j6Wg}&{Lbh7-gW=#-qp3Mt4^=AyH4=~k$&ye$C`ce0gz+4 z-~)CYo)}A^Ac+!G(q~MbNnWo}B|Ote4z~J!kjJ^p|7^uR#Zt_u?03+5aU_*aH&J){ zu{UGuX0|HCW=XjIoKT}H<^CN)z=0oMPKZeokL9=m1Jv*at2s@u&PIm5OnRXtPQdis zthXt6|LRe3sBx_^}UY&WvVM2 zTt#GI-d+u91E{DP%L=;rQq4cVxmuX!F!rzZCRrt7pY2l@XiwFPukvQ`VoVxCFg`e) zt(JN?sYkVRdPiyudTx>OlJzcZ>zkaiUBqreO`74 zryGLfjljZ7eKgiA`lV)dZ}?YyBpuPq>q(RgE7r5)62ELuU5b0E#Xa;sX`b=B-5+lK z7%S`ROl;@+xKM;8|ODN(I)P2 zF3W*`5}3tSli_-ZrycW*x)Su=w++BQe(W%wek zzBkiz-k!4S&MqYwe3+5HLw9_1-UuarT8$5G2u5uMgmxQloH-IHRVUmQ3fS^@c+LqL zk#Vh7?bTMb$M;=uVY>Px6GEqRm~jtd+o}^1fR5eMlmyFlJr@#N4L1|OGQS}oQm>< z&sTg-#^KyT_*H_ZS{~_miEf?lKx>C7TSu4ao%|O?i(fKP(&6ZX-xO;TDx1wYBdQI< zxl~f@+(c!20I3~V$hv$1i3lVT;3O!B3pdN?F&2_VnKzO@PC&N929D| z1_&sIrZVE_UvyQ0oqD}66j|dB55eB}&@`8zoXjK|Pn683R~t}R+w{~RS3a8@*t5BC zO|Wqw6<`AeE$6x;WkQf;;u689Bbw4+>t22&Z4d&TApOX$o5hxc_gIm>L=)Y*AUf+` zG{aEs5LhVfPyswtuXqE+6$)5zSHfZtFRsi|@?I8!YD_oS(oRwK4yFU8Uyv0wF)rat z*3=p8Eexou?<6c%gt)&Yh(_#|ac>ewb;Px%S1!78XT{>tw`kJ!^)}WF`2LQb-3_Y` z{DG0la_hOH#@VDME9~1>1sKES*cVXA45}OCofTgZrVN|EBXw`xlu{fy)OAnJTnjeJ zr^yxiMr_0Wa{hMp!f=~yJ*gwp9L#6(VwjxYP#vo6z{ib#n>v3m`sfO)sq`i8?#jK~ zlx<5mzha40N!%nkHEpY2qtn9(>@)R_D-LACXqSm&oAO2Z3C;p|Slr8`tITyV6D9EE z5@vx+5U2I|wpb|=KGAHLdm(c2o^0VUM0(^Y^s|Ry66hvPKu&?=!P*zl5zymK2SV{lf_T&%wE) z{nucSw(7Wa42KJ!468{DVGD;AnFy0ZHr;Kiv@0^oB}54c**C>RWNw7xd-`kte>(~? zyhfdtc3Q4a>qY$9ikd$Bh$3d3V@4GzaIg&{9h;*It&KUYT79XXh>9R(go`^#y9NFa zRU&<`_dKcTo))OEj11>4gLoPAm76X1yG1B2!Jt%JZEv9_ z$7=O06sg2OVFmBb^1=od-OC-0v%4qWoW=*>MRRw2M+tbD`J&k0q*vPDU)XhZh#6L4 z;caLpbbzPzjE@rZ-kcz%m<;C%*|HfAx+k_lLL&9BdF(;#&7o5Xkc}($iY_K@bV=Qc zD2jGOG=xvOYY==}7k2bQ@4R^Xbbf%i5iP?xKjZtc7ySzL{O?}k0X#WZBGfEkLJ?}j z+>UCSHS3&`6W!+&N8vmq*r#8PLo)#; zy7TzX>a!X0vwFob-{%BqvXvJfb9_eH>g=*?swc`NG^U}%3FA>=EQ2PGfPbHF%`H}F z5-`A@MxknfXpR=YD|x=C&l*3T(U30)Pxf;qZSJ|u{eIFd(#=}OMqcDeQhQ<_KX7o% zE{43e403Q2H`A=!yiKn|Mmy1}6X|31>VeZUw4kWxnj%M^n5(29B+oc@mBjT}!XD3L zA|K!nL`F=%y4@=L>>pFiKH_AbC{GrWIZWoQ3~H(uc6}(1*-08Fr$cwAJ0J zJgDHx%P8X_P}CuWTl+ixVaX07P8(QL;;un}a<-Jkwx{JFYY={fg*hM#Lro0#$wA!C0 z-puRe8rd>_QAQWWU-V} zNt{o0QSh>Ce7_clWp}jIzVJt$k;WhY{+`=?Q>`$w`0p>QX%&ie`9H8sx9}HG`@tF1 zY#81Rcxwvsas9&3^n}Y0C_}dEHUUQB#ZiEURj$1e6B|mU6ftoP#AUdRZjlw~B8k1^ zjYixyUoS3fl)a>$5@$EA$U~%qBs5Qn$bW!G^CMly$$Oz;b;iHE)yjE9EmCo|XJirw zgNbHJY8J>GX@3&kp%fWjU+zq z&+wQlH4Ud6czrQ8WIB`1H6~2ggD+feyipp%VV(L!Zj`JzZbaRSV(j9i@F7K)TDSAB zon#-*>xa$*iJjjryITi8t!!&u?Oa|KSCzzi*&mh?{bRn^XI1X}@z|V`^24WdF@cJn z1BrI?f0G0~wjGZi20rw+9av9ttG6Hbek8;13nbTVb)(taQ8(SrvzRpoJfhNj4}z>G zJ}x&H&^+$gw`pRgxe6ADV9(Od_xh~j2HFJ)6t0=T05dX`my01dY^0_TYJDKhk9;>^Yq)rFX0iV z^P}GjSO5EjKc%i0%b^T67Maba5*esjB2p?Y_D{J1?1SIcQd5Fo{%4}+s ztK+CN#mSR@3$$5Xbp54ImUT$8PK+?)t(E%cV`@CN!&QyM4zIteB+^@<2@l8Snu|5N zc<{vJ+H+Z-b9Q0WRdrhGzal2(+|W+M8ytM#eMVx@^HCn{qcCp-p@U3WXCNS2y2D|S zR&EKeuVhf8!~OR_jxJnvmGjB_1>ckFJRX9vOU8YE-^PH)jPEX+fr^o&f=1c=37_H; zXWvJ-Y`Q9@X1OX)o+ji_aU%@iQw?DV2TL8%)6AWwgXrx_y5V)f6R|}c4=CyYt552R z#J3Aw82L4v;-Y~%@Pa)(Jd&0FLN7sl8zdDq?YT_eEGT?{w@^Dp*LXXyh3O!yt$nMb zgmxxh zh@!4O0^#x77Se#8KJgu|$57yy2ey;%^x>+}_I!diVKLI0(NTJ#x#JOFM6TJfx@kok zdaI>zlTtPk3yV#TRB{%7Hi#;-kSoqPgAf&3pjf}9@~HHgJ=|w~nzK7${2zdW+B7?_ zOTPJ@XPmVRzT^HG;tRU4d_7LW+@uw2+A^Mv_O$ySb7}3rS~Okwd4W^S$Kp#VNu&qe zmRB`STehqUlX;{f4SFs0WW#~E4JfBZCVL_2Lz{Bx_Z`|iKWK}>rDelmt5>^IQ$!>8 zPa2@+(F?q)^woW0gNs`qG1oT37~Wtv6hTcFRWETec=_|GZiC!ruKDWh9yijGpv7FP z#=ihBw;4Mcp)sLd4|cV86n;<7c9HVCODLbnhDa87rM4Lp^k+6N^zff}kSPbf z;d{id9EfvLhV@RbZpo7ocidX(f7SwMo2*Ct5Yb+rtquL+EEn4ARbr@aY!aL6Q;sfY zTqv=NFZxn{{*yACdtX^~&GdWXz+Qp-^nR)D&HGO0^hWy&(KG;PDq^lR{2!4TLqCPp z+JxS+&vz-qkYOK~2kQ}^$V5wI+{5bnbH#^+)ppb|KYwB@#p51N@{kAtX4PQTi4EXy$aU=`p`$UeGkkU`w z{P4Fh&-`y^w>cpD-43^zWWh!if6g)(S`Y?MIs4K{$06F8PV!rW)LIbEUzalqa;nxi zRPgtnu|sZJtTezgM>j4_UlL79dPamR8LU$@ddG)w4v-uU`J zLos0*S%v$Kli#-MNsTN8`p$i*66hz8;3QbPMw_AsAN`?8+H>lD>r_i*c<7SjVsTqj zf6p_!E$b z!Netq+juP6J}$m|@y;PAI=HhAq&J>^N^=FIXSQ}|6|waxc5sgh>>R%+M#Y@0A3&XU z`1zOo6NfncZs>j>jgyF2@h@(C!j|CI+w$V_r>&OxO7qI4jc=%UkIPn;zcjz#*!C60 zw~cQ+-ySm0DD{gVU++_UKHItJ*GDGd;ZWPQqwmWHUVaadANvD;UvE`ng1`4s?)dFx}CwD=CJ_UB%ALeuHIoEw$J+@F>`*w zJE-Wfh-c~jH(|E!(Q?-~Zei9;)D}ElBW^+2qMaaYNq#|+`#0&E^2F)-`~AoRM_=K= z?^@~YT%&IqT8ln`f2??6sIdu&mcFt;rjq+cp>J?c-vA8i%mozwjMY-rg@aLJQqs$O zEM~)p;AjbR#;DvAK+K_sJK*ocb0t5PL8Os1xN=3tht999VQE1p{e`=cg))P(nI&w( zwgf&?(4&ijc4w0Z;ToC^Se&ZORewibw7@I>n*!{!7mQC@s8?!;%C(} zoO(xp=9pqPHY68O1cpm;0>dS90pq}e;Thk?pFk59?*cRMt3t`+ncVmBk*v&wHhZay z*5u`i>pbUJlVYKRp{`@_c+WL=*V=0mB6~>^E8$a{i(4t@_>s=0oHqQ0Wdm?U_6B2K1_1{3r6m3v}#yPq#VM*UUq? zPhVad1SEO>(f>-+_BjwAC zYL0*K?jUT=kYO5#%}-oggwcRav9)VX8*v+z<(1)N3+c@R&vNG5chyaU(y zJderwCcRMqF|#MbVEQ^eLjQ4%5xMK6QJ2Vl#+edbZ_a`mIbHMdvl ze`D2+RR+-uStEwyxlb;J;~Gaoui#a-lp(SEOmRJsz``n!l&x;pCojMz&rqlL<74sj zlZesK&u<-jYl-Rau*5-cuPt%i{~!14a;VM=cnYihz*Dfvv#WmXK-u7!`@iq7-x|jp z5pdid)ii9oUs(lOJ;~l9no}X7Btcv35(JJqmWsCS_1w!_d{~m$cX8$-D9Bg+bYULW zUaXHgddM3lisaLzj}9f|u~Aaatmb)^8z76~v`~$=N0EA^#t}XOIVkP4`?7W*Ib|32 zmr&;4&ly7PEPWj>rG*_fWSYZTZ}{PiwSj5M*PC1-j9h-%Q*v`ydN}rOL?pZuK<8A9 zR8LY4Xya>UX(rM?LAzYG%BgWH{ko;dmKlac#P`xx+STKPJiqixHl~=| za0G@9A9SOxZaE!2TsbLqbq6t_Nh+Up5c-`TSF$@_5rd(9Mg$K3kdkBsj7R33e2dMt z5^{_s+@2d2JHE&0QxJtsG0!ATWdOsE9s;_?dEo8@uw^*9%!+^Hn0NUzdc>qtd%$5? z53dM|{r=pNOnj32jNurr^CdEm)&9=Ib`cNd75}x4Kmp={+5;%H#R0~9mo&wIEb=1*?K#`q36&=2rFUne+DO|;&ef0hkX-K$$#{(MfQbLXvt;&5snOI?Pe@LR zQ2ath*QIpzjr;C~-X~=O2dC4DeAPZVkc}^?viZ9LeNFNQ-^q*II-TDIr6uWV1m-|K z1}*tY?|+J$NovrPC&7%qTY1EEsn=fHIZ&LLs6@NOd!Cv**k`3rmlSJsj^@@MZ?Hc zwAOv7sI|Iw3}S&*JaZvh9V)TKtpWRw;>%LmI>*;Yp~s3hGSMR@gH=$Qhtx+-VTI^i zZ?xv>Uj=M@Ch4OPtmpbRXycf$wR;}j1Mbfb+g7Z1b0Wpu-q5Xn{Q8dhmrvQRU2X}R zXA?2hq>^82OcNqfN`K7fsW+qIr&*i1KZB>hweHB?7e!OX%zdS@!M*V0QPNi?{xFLp zZJKLW=`o4p_@%4}n-+bK4(kL?N0ZsfD@Lv$lks(+eCCM{nkRRFhxAO9M>AL@AIJS; zCak;FDPR>j!621N5XF!$l?biNQ^h_$wUU1@9RDmG-y-vJyS+6o`t@@Kel)d44*5MQ z-Xv(?w~dXhj5rF78O{No8{0ZJ64P#yu;SDWr_uyq=+XP-Stg;DFSq^3j$57cKWx#a}+xiGS146;0N#A5Q0VzaMi3H7tLXv~ERg>@2xH z<3VlN{5>%`4%vlKV4YF%G}lSfo3O5za{uR_Xy&C`eo1&_rs4CQ1gRnIEzPHBL6QH5 zt*NVpR)%?fJ&yBd@@QIlO1$rM+B)WHY36iXAx|xzeD&n-aZ@kbP3PCDX1YQCug~eL z%ZV&R&(wIl8d8y2TCce*H&0)4x|gGA`>T9+bMY6(Hyfn8+wOhouKM@-`m3-C+&T`! z`q+pke6-Iat{y7t%8&BgEX-2KUuQP?*Ex^uI)zA6iA>tWOEM2~47dJ;n-TwfCEj7H z-=jOh^=*2KsJj31wVMbL8B}&<*T<6_=FVX&kEP6g8kUGW?h*uTf~U-e@d6VCw9M%@ ztf1sLDmJ-OtYky4GPKMgj>wa@5ZD8Ix(#?`*SJI@CG>`*)YzyOX0~-c(8X&IpfKWNjb>Ce2ojFxGI5W{i$9uP|ac^)dGCF{e>T88dy8qu>?7LpuO)ezv3Wq#^A5Tk{@o{A#|N+vn{jd$63Q1l6343Jv&+9 zI|2K+WhgwpX*%!lqAd7tRy=j0ImgfbhOL3Aab5859D=87mlD;R08u`mRzU?6RO)%Z6W!2n9l3vdP5;gVZy& z^$)J>w>e4ts)wvC>U$wohfy(}UEz?S`QmT?w|4jEC=o_ZvZ49Y4qn)lXF#Azv$9W` zEn-REb3XC>m#5mhr_?6#ZGi&2KeTK2KHtWw2cZ7e(r|a7x3}2OUrI1qXpo;~s-X1b zIl2MfftD~cS(D!6GD&L1@IN$M>&-O-$G33H z3##fdt+7|X$Ka)z8#0!D#&rOQZq;2o2-3!S>`CCu+aBDGk;FGD0Lkqh8RbMs}45(ti{4xGdy;R3XwD_gT8=B7FU2wKC0Mpkp zp?zhI)7y8EvA(nG8+7PnF!%Ign0Qt`&twA_nbm-#`YpOA7|3 ztFEbp237f%xG%@-*jElDMM=ztH=XS8$_br;bps%fccp3sL{`1Qxz)OHS~}D5*K#*) zrV4Bng1U~{z!_pT*v@RGgc~soK4CB5uLvDYQ;_+q;fkZls@EK30uXSa{6tX1&E$j@N; z)6a$_a`f>1ev~hB5B!HXK|ds9-N+!ztgZ1E&BbpD6Ib(q?H~3Uu@OH=^Iw@#F;+6U?|ui`0{V4ESR<0NSJM=+6Z_PAqkP(y!AAj^ z>EvTxOpO6x#SzOTEL1vL*2G}Hgs3hNrIwQRHa(Hf%>DK6YG}}Woo(}K%Kaadj>um{ zdc(K|KO(&NWpM?=m~-Od-W_C&;9(8vPa<-?5c_Mz0!q~ptajyiw2Wj*GtddR=<(bq>pwctN&%*A$)EX+-tmgJ_VImc|wLr&X&HN#pl zH|paqELxkVv@|F+1*?NmD{?fK`em-~m1X9+d1tQk-_yb--s~|$;NdBq@g}-S4Jv%t zxe>RwPt3MeF(XNkU3uoU&c3b@d{YJF-N)-G*&I4me35=T^@K;RRfnk;e1)|eJl1Vp z&)Z)+y>$DGq4S=_zw+A`SRSYxRaE2Cz;S#WKXT%!6N{z13JK@YUd#jpKC0YieuJ51 zXPh7{|91WrQYY!$N%68CYreEjpLcY~@%Ueg?uR#a1jwf5?lZutoY>#$3{B1G)>Gqp z6!Bx`Z4avx1pDCi3Xwh1jj6ij&Yl`fzSqlx#MP1L8p5W#zxQzclymuhrrUD2R%L*% zZD%_bzWVL@Ydt#vL==Cmrv371DoB#o#TO^&Ky%2)+_ugfivTEJT>j&$z<)oW8THG73s+)7opVyqHWCiA~gFb`Ocse`vlm*;DtR`46DYCt8KUQnWDI zH~i^-?p7*zE02;&m22Iy%S)mR;q+Aa($-#1OfGo9x`^3!lzrd?x0j#ru#$NMJSW{byc4ZA&(XvttbDr8Aidigh}{X<8XVq(jy-z^xfW z+~zO^I2%UC5IO@DMwM8Uuu1=}=I?o@GA21FCn(&w0Z`|+KYTfPbRhjxe73zX*7%5! zNn*oxj||F5K@|&pzG5BQUjA-fW>fTYcC7x5qB?J!=s{A3yW%6tr{ykw2a;%3HNu}i z%z8e(eFuxVTgV&Oj#aqiKly1v2+32w3ZKBa*+CidsA?n*F`R_?3f)bdN+cyxXZs?!XQ={a{{23O;s>;CRnr-oR+I2R0w~MOb&K9vSo! zGW{CVA7x7q!^YzhoRdGR3&GZpnKyF6 zes`vUn~zwE%A|YIpic=IJrex*SpS=i?cC09fZlv$v#(oRMO`0&Im|GzRjToP%A<(du>FAn5zzke$ZxRO-DQHXwvw^!LapMHB<( zTDq7RBxlyVA}ZKA`fvDJ366HIa;(}T2!YI*!`mkVOI7AFEuuq5+b;~>y6fJ5L zKNLJ_42TO&9qU3XQDLL3{#o>F;vdipgc-EIDMyeRuweA;vPLOUEl~M;^(hR3&pdsUMD!OsCC}3dp5EQfXa04VwqG~U;@HHq1 zWc1?4YH>lBK)%9+wTI{7NJ$z*mtC-1{9d8zF^kI3bV(CG*#V-d`X=TP?q@ z(f2@*Yf&(9AAoO%QJ`p(o|OQp-{BzCqHuYJ!Ddr7{*PY8^20owij^VU|Jxb%yb&|_ zvPViIa&q>mPXk6Lgpyqph5LrMVqxKJtrs-TD~-_pgg(Lm|9PD)*<-#+!MDvS?jr_v zIV!gYi5^WT>l9;AF&dpU$eG{TcHuxd1HmKBIB^Mnix1^!c6&#g!95TO&x_|`eHr|$ zxIHH>NMaQpm!@5gYn75NOw)J4`NpAQdI5qYD*xHuA1OVYWbFym_L4lYgN^YxI2l5J}UaV{iO;JUL0j z;Zd3iA5zG8e9Bn#H8%no9}?kcBcYVA(O8fmHQ*2i=88LjFkudredP$*Gc{{_zY~pofq)VLKl=0wv!lmnm!sgoH_cL>R3P^0ik|N5D)a`Fqg#|6u(kRIm5bYF z7vnbgv%T5te6Q}V0PfDrL!RL6i?>p{hgfg;R7K@|dSOoEi!zl0`38rbAG&XX|f?zomdt^&aqJ*<<6hR*vQ1vx~ zc}U4lLCC(&-Z0N`>D4ik#piFZm1oB3Zt2yCf>N~e4{l4^#b?wC%Ef2WAq9R9W~1hB zuvWp|AhRfzf=Tu%x0Pqo`0D?+ zhag@BaH0MK3{0O`Asjeef&Jg~0ytI2g7Z%!fM2&K)6WkSUTxdsxS}2+1~GX7;<|_9 z0XJdjB-1AjdL-mT7aAa@ZUO!^H#lBL_H^~Lw2yZ6J4?hp|F~gtDkyXB7IX7sv5f-? zAd?2x_C4?a-Ac9v{(%=C^IJf+v1HN3JA1q2__=pj{{vBurq+Q%0PYr~ z3S=SJP@nkWc3OIsnq>Z&5sgi@;ol-^Z&QV#u+QUTE3^b3hfA!c-!j}BDE?- zQZBs;jcN=OmcomGmY3>>7hUMIF4ub3$XRrLH^qg7)V<(REIpp!{KL^bO?tJBdsn>R z@)NY4B?#o=|4|$B(f~^7gDmyGLlM-;sRYg-kn+RzR-TP{=A>7praD%DlDYXqRB$YJ z*`Nv^tziYeDS$(+!h4OmkElSDfT&$P%k3sbgQHK71ZONcO=Kwqfaa<`96PQ#GR@PVJFS*gFsE z?*}*PgD|Kfk>EChZ2ZpU13C&GDuR+Bk%i5XXRU%@YCEQ7ppWxn#wls^OpyYtW+tp! zvCEm*xWqxPA(5A-g>^HynI2)zUcI zH^+{i7EX?2jA7p<4}UU25j$PVGPTIID3@bqNwHc~+P}sQmeFTlOGsML5CH>f+G9uVRo!dFlq!K|t++rXF1 zPgd5eV*nx7IT};%p|gu{Od>>$-9&OhaGkDiabh4x*SbOb3$oeIGUEuYW^xXgV}wgB zFfr}d{yD(c1-?lSX3g&{Y^kiX$C#&!$?Jipbx1sd@9hxJ33Ow8nd6{gd!NBmj~r=p zJ2+0Bm}53n9!h!-;D=oxO9BS##e@P{5cM8g+IJnWK#5XFCOx5ED{@W3+TI9vZY5YT30{;q@4ZdxGsq z3{$V~r)ISdiY6Fsn?5sw4>SG=StloZk>dwrc)VPh{wIWyR)7<{kz-B)RD9RND03wm#IBV9Vl|RNd%L z!9%UQ^8||X&SN)nr3m?+j00D-2N5p8k}qAfiu2Eo!DVu2QIr=APPTG>W620;mEp;; zW?G08xEVFiXhIMW$+5?Nh$18t(u?cD$&I!E&1#+ZL^GYAL^I$T0%1lY#bJNP@3yaT zg!13xqAQEzv}XIQL_A>(-1_!{PWCKG#!}r9@gZTM)N0cxdw>@Bw%+N9WV2jNa90q8 zZ4hPbksyYNVP%XC258GUPmm}PY)j+@4w`~qBb*962jQOHtpl@>{Euf+kBFX-7k7Q@ z;y-Q6^Xr|u%7Xi*%hZ__|KgMc$TD4IZPe z^Wrbz1&r;*Yiwc5#_o{xXkw9Na^kJ3A#7+8rF8>TDC6^Yx>ome36-YFKj<~=9C%ZL z-%tWio0>Ex z9Dkf1Jk7uz(+=#*4}Elk!a}IPN7isA6UNBHD;7*fs@jyq< z8nP${q$`1g&2}R+m^n~4c9?1p!jKTPu;E13I~z5`BLweoLx)W{%k#Nm3jba%wPDsxRU8+OQ7bewA}BZknGXkM;Q!i|)!*1t?a;VS z2P0#m{bk8iY< z;URPyymjRnjOMuw-mMCfZ43enx*h=utCUOjM{GVHEL%4OzVJ;Vs2LVL5LJx8(h-#t z5k8rf>m5=Rl?fW9YPLW@(*j{vJ)?bV&)g==gngfJu{qXJurzibnX#S{wb$R4$``@)!=YJU)#&P@`B~R#7Y` z0(%ks(`49+a=~^;tYW4#?}tR8lQnwhXHa3pyMAaOm{SPa4LDd322ZeYn?Fp1D(d*rFyI)L6T-; zuwngyP{PlKH*&c+l)T@LJt>1sl`ul!_}oOxoPd^3vm~Rp3ZFollmYw>!crS=iSWN5PD2XlcI#&hMPk`3ceM-yZXFm8kE|7^IHICl$IuhH9y5 zu8;{TVyT4K=i!&%=*EJkEAWABULucVf*xE2hkEjHm?J6E z9U%I$#r@57-Idq4R|Rqedo+bBtM4>D_<+^g2+)gF_=cGW>?`EEisIvAG8oNa*my!f zRs0X#gi^y5I|z>Jz>*EI%<4)IS!EZ!+sUQHM1e!cHb-Wh9(8g~J4gJV-p49OCecnm z)&iTWlv=6&LQB@7-SEl${lDqI^8zxqYAZ|&`K)YD~f20NYc9c z$&}r4q*>={kl-iCdD|Rl6bbTv)9wIazaXFG`A}$fMfOKR;cySHWnptQaK~2lZB@VG zP>e`_Y@;sbUDZv@>@Lo2&(!Vos>Js0e)zLRmP=*AbzKIqg8mYwPQjQcA7P)MIHS_K ze;^IPXy{PfyJG=GOZA+7&Qgk`t<@q)`stw4zhLJ^gYl52DGQexnA7}&-@#rhI64q` zkJ6sZT|tEFyyKqg9JCF=+V82}M_Tb?z*w``EU6m|ZNcwq6W<=y3{SD3C@z#h6Za4S z9e)GiewBi5m_1?7+*pHTvdaWQ#)0>@L;+gaDEmxTsf7!7MYmnE*3?+;GMx{|U+>nOp^_XSM+acPz{9 zA=(O#W^@_$>J7bpl?P^vz1Cs50B?5JS{iP$t@9KN>}m&oNc(oIKnoq{VX6ysv?Vyf z(Y-~i%?36JClf+_j5TgEHwjt`t8jlZXTqS5FM&K{I4TNv+V!Cp%<*yGlK8Hv{_3Cm zKz{#7?{F3<=-&H~@EQ1YelxMOqww}o94pdkO5B;ezkvJP{%HZPuwmun$ zBd)-nzuE9yGAz{vHU>_^Y!9+7wrDip>Jzrdr~MheZ8)i`3nREWS!5}%K>u4Za%{ir zzXVkLwAAG{|5b1*v$LjHhVDD8*x}C8EcJ*;HegdXJUygVb?k~%dp#<~u?a5qh?!pn zsDjZxe}N}X`e0C37sldFS(DJj2oj(!z(Y`dz015{vw9&idcqMT+8#l0?sBRLJrnN1 z*b!`gmqm?31y{M$!Do-#%6Fn~L_U@4fn6vutmZ3}a3*Txto<|gb&!LZ#K%-hZ!I9L z=*=&|clzd(a3&AV!JZMYi#~%Awa{=cZjf*X&no@sg|cF0Wu&Ps^Wpt zE~-Si+hoT;R9h2+8`bS-=`xKjIh-WM3>M4BZ$55&jN5_%8hrE&$*A^l-t z*WBRtziZ6vhDZ9FTVUFozQ#>0etUordH3uY0bavwnAh_Av5EFMaMV^*dQehGUU1OI-@o9saKadjj3= zuJB$iD`A%YSUFiw(K81b!iOqbIW^3=pMP_-4ZcjhZv?oNqU)%tgKt#Kc-)M@1eO9_sd!00m%Oe3Lp%<|DytoSv;re}wd1fy zxHoAZ5~w_sp?Id2dRwrF$hjTq{2UiK`c1It8D1;ly6OYwKFzvp5f;t;-LdF=sK@tS ztHu#TPLJ-sdLpH|p-4ETj+*MInBFZzd;0hfR#I+o`2wRfUEdD?L|tdeb3;<}XmgcY zpuOsJE`w>hjX#*E6j3<3_+m2q_N^b*_`*6gd9cN<0ZRW>1z47jR<#AQL<>@jO7%=V zD%U(-d6&B-F90tE5YoC3oONTSqxVZiM(AUs*R?;b6+_B0Lt}8qQj%^lvNF`9ECk>tII1WS2|$4qcAoO+o%%gQ#PqSo(wQ&5mT0Wb}QmFU%8{)Pss8_ ziuzrVZ5xghYz@AZ`2u;a&@zt44GToIforFgP{#KMdMN;VD z3*jq!Cwytgmk%B^eXwx@pKEFZkCM_sXeATy+bRE1iJrH;{g^W~UDegSJ?G5qRM%A(PXYFn%`X}1%nfPb zTl~R~psMsbGT~K%xiRV15j|L4WruD$1jMrMHItEYAwHsT94|EHlB3{!r?}*EKo*h+ zL_s_NUR;aZ7Qw!|lqbBw_j^RSKKL2Q_9s>5YBHsJLMAh#Cmqq#>$V)7pv0k63w>E= zoLV?pMVBh1;UstrRyE!6r5JMo)DsSP`7 zho}v6nAM)9h!pTawjWN+1BaY5DL5LmT`G;Bm^M0>#S=fn;TdFk{1I zhJX6NQ;;d)#l+*NpB@Ig zq*Ng>oER!I#AyKkNbJHGRi_McnKp*w(dg5Zf^m!B$BNV4PYPmgm7Ouc^|_z`evzdm z#^>&uPjG=d@n})uJv!$*k!YpD#;P&rZ{XC~%lU8=Rh;T{$^q*Ff<3YJ@2I#GO4#=0 zc4ci0=`>z1<+&b&^G=s}p*g+Ozm@WDPN<8344}oWaXX!j$_3O?x}-DzfKF{tnaxR( z*v>S--!-tmoIl6ou#|r}bq`v)W0?8(cS;wgQ_vFzJ-YPrs}iZtz|W?Ta~%E&UDRsa zmhi&|flE=32j6yXhv*=BKg<1)ih>Wxxf&j-K;6@7w{09qInghWQ)NmLwiDl$nk=K3 z_lErzCW1#%U~| zVzz%QrPrq32W&Xe{mo+@VaC=T(wudX+w=2YrW%YrVvn*}J$Zh=J!DMzMdReW$oEg0 z%|p*Zrk^>K9f7v*6HdjHKPessl&J7tW-~J}#YQ;lA^naCd1&9Cx~ci+(W#51f~8o&UC!pGkQXRla|YYdJA>PR}Oh z@HI|}CXkkU3D^C2+cwX8l(|N=w*UuBLw?42)GbeLOMhupT+Og{ z4c?FzBpgmwKuJk^5uW=lHud+04r7kmZaAt$*cWIy^P`0>hrEM)FgB z>6FpV4Dd(Fso;15LE)p2uQcfJ&&*Q|)f4%2hix61**}#In$PeGf^47bxo|~cs$RsD z64PC5C22<}Ahx|H6jG>y@Vw0bX%I;MctX)5DhMyG12=cC;Pk`MeO$FgwDb{+cL+QkqIXeH?_7J0N0Wq_!=I^yGm%Re3rzcMV@Bn@}|U*CX~b^7}ia- z4a7PBCewzwWb>hRqHw&nKKEKao7vLbrkqm!9Z!(3tNN(CMc3BN{-a5MkSWfFd5P~$ zZ5_DxmIALl$OIav`R~78Wk;AcvCE}^v|o9cws@n7)M|N)L_yFCB`eq_q?Dy5Trf<# zpv7qq?7T(71mo`YJ1t|WS7-YZi!{i%*bCKdIT9+~WMHV_;-_8v%k*EwJh`l5@|uSa z)a2_pXxTGFq7zMixLnCQQh#Y8a#H`$vz8=pa8*Zv$fOk|wvJ&yEVvP{X~_C?uai_?E3d6bN%G^ewK@BJ&^C(KYp*!+;EdK|@x%?RYm7d+(mS0%{E|&G2nqRtJgYU$l8l>%nx@btUzkD zcI)jcdJA5IHi|RM&DmR0|5WT7x|K~sj8~Hze3%e>xpH)_mXuX0LN1$7ucN9 z@ssox+v*FCjbEI{8Tn<~RO^R{+O7ax?4lAs9JWp7;a8AEwl?Z!|0ZRjIE=(~P*WRt zn%s_|WEioR0&pjI@-D`USG-ds)Ds~uc+=-h-~=ZMy~Zt+0<8o$h;In(+!Tf%^XkcB zGHCJfv>(bEeGDHfVI{k^0;-q(B#%aVOJed<1rfonQ)Nk1+4^$MNLfMrzw++8wOM1| z|5R;zP)CjO`+57@a%Q`E(SH0Q#Tn#PsO#4e^XR8gp5~DjNq0%R**|#*iPb&ea0ImOk`Sk=3SaK%(&{M}r%Je!-Qewf z1p^~8<|l`vVb0bc_y-FI+<+^jP=O{-qtHg?1mq&z$r&b&GY*2@uzvX&RMJZap6}AU zt}Ml*YLA#$NFT<%O~OTY5I*z*okKQ3ma`j4fBlnM~+Q{}tY+$znU z)Yv+lj90a%?EMzj3+nEawHnX|Z#n(7_gNsD-qKVtV@RlI2^WAK0;e#RLC#xkx${_E zLX(Th>RbJUfZr~?`4)TH+6eJpyCwubDtxtZ3wV|C^7}fK++Fz!r)2bS^LEhi&`0sv zT#aAwxYl0cRX6)gf)4rM0`oSYlHF}MnNzi;={HxGWT5xeHq0g~l(;K;JlI4YQ%RpS zN`?vZ{(itK0^m#F=gKcA6|>}4)*T(kWcc3nUHIbzZOyBSrTOFZlmVx52g}vviY>+w ziJ#P3OtKT;J@0oqf6?x!r~$P&iQ6F~7DD;Pe`vc_d+`O{bYARNCQ%zJ(E5Q(%4xou8o%De7gqfkMFXZhAFRCh2CmFz4 zZ<&U+U&7U+`3**+*UeF87Ns}E2JEM*!{TfJ5@8I9B{xX)}xkhH%JJZ8LglxnG;krO!ZU@|Pa|9f%E@ zXA;;Vou?9NUwW7}hfCO)?|MW~wX&Wu$i@67+bZhpF$jdrsXugSfNCur#J;Ht&|2yX zlv>yBmX{>9zjs)Moh{fgjdzw`{DtlGmQa@U1B3;w8AJMFtQBp~?7vkr_DaJn{uz^j z&;+K(M9sV;K~E2AAY<+9nrydj{GxF=L_?2ra5v34xq5Q;zJ)NBZt~WL3-T5B%ykaG zn_D_7NiZv&Y~1Q3E=|lh0YTnJrne(#B;9X7-?(N%e=uy(?B$C1;R;g}@1#dDwGT-bnrk zvhwNb!zJt^e2o<%%aUVo*Xq~|J@2n~BU=L_A+J~OSrTAl&6=zdwm4Xl?3)UHqBPe}eV`cS5R`3GlPR|KPnd>7gHMqI%XbiQml!?ghES)->-kMZe1Afi?XCbf z-@&7nED;Q|dEOY+Qz9;{4B=PYLVR0`J&S)pAvb?Z2Qg) zo(3YLDK0iRQH+8+80-q9ycdZhJ4Z76$eSr25#$t(WS$c;;0$q7Z^q0v*3QTc!!fny zQ#gL93`fn+_sUB)_?@O?D(;^|QsIy{VH3AajgSwMLWd3soXXSCAIk+lerxPW{}cHY zTR{9^tF2w#U?@yq9XDPFN4m$$XdQPfYt_r97uE#!waNMD$mSr4p_@E~598!u>Fyii zD{@8kF-pv!%r{e;KI&;ByF9aI?To}Ru3MLcg$=9ry#44l!ydC^kz_SlhXJA{WotQg zrV2yr1t5;LI@4)hi`tm5rvrqEpa?i3j&mWAms7s6)__@0UY=BdYBO78EM&9*e5lfH zL=oSBGbqPxH8x)86I-m*qI?5;>`AXThxh$L>|>(#)NLlOej$n!q^>ZnH%+1e23WcN z;IhJLKg&;RHlko}1pTZjpz<0pTXNu0jMz2~`Ob3rE&z%*blvoOA3u}Rv+smu#FKAuGxQ~|q>H$5X&ibG*p zoTF@C^4OBw3~D-V2qk7IW#}Mn+Al+7!C}iQ%BPYhDj7Pq+%{RADGmHgk((e(9L-qD zLTTfZ^F#Qu`Y zd`EQk=j3+;yCW_vg7+LpygodgG|nt&?bi;7Wl@Mr`1AuCK?Y5o!P1Ed+PePWT+gSJ zIg!M&u26`}&^XjJ3;7oW}(? zd$!zo)u@DBlIxq+gK#lNWDOhZ=AM6OZyqr`~5;&J}tCr|UyvrPh2===l_kyO}rK^$`!#3gsZajc{HFt>v-CP1BJA zU$)t$lGRvh)|yEqBIQ13=Rqs^KOR{xT9Lg0kt!fH8z&pu+Q^9rdgozx@5!;H-cHPG z9|R(7_YEe7M&C68q=+NTD?LgS2F8G-!A?LfCXzP=1;RKht7xx{4va89uE=)C7uP-H zu3oa?JqH*8TK#BVUym0&d{dNQuNQRJS0pv2JPicc?pzGoPz*^?+Go^&9D%<;$Utj+y9_-_E&w62%b_NFtE` z_2Wm=hnruqH8Pg{8$PUiCr=F{q0zBCP{;mfXSB@E?pA6C=0tCD!bPXh~`$-#W4 zmfkv&;4{7UE>Vb1{3|J!L-z4z`bLZrW#-LjVcZM1Vu!)NH%?xO_72aaQ(~A^;`&f> zm+jyC-jGKN^f~P~ij=JPz)sntn6YchoX(sKfKZRu@E@koR+4NSPq)7)}4f3w72)FJkl=y&}F9G8o6kE#Zk%7*p+rGsYza zEfqRs$;JV8Ir#`XVT)M=P5g$lg*O(GOadxHfy^Xolp4)Ofj6CJH$Nc|%6Iaa4OsrclmwrXJ$S#MZgvsYXMRg62Gb&L-Q;L* z*%`V?DfN7k-1QQzd}dOitVbSX{xrM-7X+OYhx;759oH2XBKwwP+Ju}Ua&_pnsj+MQ z51fpknK)FL7oNL7Pg#?O^PTuisa73la$7VM22K9-us= zJ63T@69O^)BBH4RxBQI2fc|cbS4C}~qlnf+nB;iHgnkxU;0a?2<@(Q)dCl43TVoum z0W>BW2pnyc4tj9ypt2eaaKsNO?rF1|MV}Q<%)#X^ywzHIqyH)ub3V?kicB*Z(vN)t zqXkNfDzesgrPnwYiwa0W2J`~gbNt}Ggr3Dg9<;zx=o6)sHmO3fU#D7$Xn}M_=xE!T zwttRE`N@`-a#&=YS&TZFF^x=OKcL5q<6^5LIBN>AiSxVByN}W1kP0g&=$@9vrXK z$^X7ZK>(=_@N_coVX;s03)FNn=Y_HF2q4y-6qLxefjA}`9w&T7B=-brjOD6WdbHXW zWZXRQQGUir%5bX}I!*Vm(2q)xYd@9f@@3Jkse0R(9my|x7Zyq@Q+~n6=(AooUzidY z+tT%^G@!$&NH>_H9dA2|@ygN^ostL~3nGF^}619}_-zPD*I~NG(vT@j{S2 zN+t~v->*{ENMbq=PloMW6&ZB6fu4gbsE!QXkF0LxwP8x_nO;tJkLuSRM3^sy30x^0wjGBW&~>nf_#0*_jb6)lGr@9m2f zs|@*$ERc<8ad(`Y4|8?zS&iN0r1g&|jI6AZcj38Q)WSrbeiki-AsOQQ^#Y<+2*BRM z@dLsORRHPVk_*n`!NAsUdZM0tK@nG<AuFp)n zn!A){U`Z{kV1^cPDn*^e!oA1l6dm$RDKr8!a8@GR za64~MbGv*n)@`{;D+9Lce+MyliGCw@j9s-BaVuLxIW9bB9a!np!1IkM%ExwL*Npl! z9oN*i`hSO%aEpZW+2G8#tp;wi znpi4&vS^$k*>L}g%T#Y=QYHn3zy@waK_z!(MqDZRVdwhr-?gk3Sg3KjIN`0uHjwrp zpgQC&^nO{1ltnGT0*fbhQd~sH(~g=_;ykY~pdU|4WTi)d2Wl7P{=okHMnakJ50SlZ zWgwP<*!rS^xB;3z&JVOAXxc{NWpiW<)Js^ksd9K4h z2^%l;M_o;is#WRG^b?(*I6(96Y#J!eJdJ%_+k5xUVafQZ|Du9j`q6?udPu6;GR8yt z)Z3X+Z;a?riz}k`0(DF4Og5f&7txotv(dR}r}KsrK&0_?0b=ZhG4; zR{OQ=b&aZYTC>VBYe$wK*zji1q+^O^Uk^U3n$m#KbKU?dZ4nPqdx9Z2SR4e$HE%-0XeKQp%q+k91v~ej(TS$Jr#P;4@T_=1I zTz1!Z5{dnXwE-^?p#9YAE38hBHvig&j%H-)vLfZX3IF98j8+kBM~}*V7(=Ld+ZL7^ zEzsY0B1IuQ)97j-5hw%tgXUvIhemqrwS5=n3;;q@~l20MLT^ukCZZ$qaK`G8FA zZA0JHbR_e8w~0fVX?w`K}C_{`J)B zv)jrNLnd69jDcnS)SL4#LOgIJ7}D=HojiObNXrm->5p_SSmHzWuny1pgx|?8_$0#P zcp|uL;cBhNI_5wFMo1DN`8$yXr1IUcWLais#5ZNXuJ@cAIb_C;m*)pEqa>hH~yf7N!s-HwyI3C;NITAIQpG07r-*SA%yf9{Fm;NVA z_Z$e)4*&ZQTsFe%+=M@qdwK(udFfsGs|EC&dDQ>|{yCG0t3QJsgAL8L?a2m`+&j_f zTQ=YsCg<0@YAo#H#=MlMcRvI}_5n+81~VbaV_r1?s1tCvgJf_w$XWY#8o*}ltsKAt zT=tzxm4TTT8@i9*bF3sL#!00=_v=q6PP3qwP>aMwNenGcr*t%e&tLr_Wrvldz~qu1 zme&g2Ly`DgebPV_JAdBVk;$U;@#z7qY;|%kcIuTQ=wHmfa^ClebaH$^<*rNw47yBq zUpBkcm-|bfzXnsE2h!)<^6^L{+XaWaT;(~DLmQjp!Jn0^iDxfuU&!T!Pv_eWb!&2X z3-{0rnw~fM)RcKHQp~PNjcNoja*kMp{ldxI6Hq-?39a!=p5RD@MS_l95YijZ-~ zq|)*rl!%moiwK3NGeNDWb`eUeDr`X(1M3mTY6VJMDDF8e?6R*t80X6{S$8Dy>`GlX zFT${v+{pqgN@l0&kBO$eIWf=?rejzINQDO-U^{3=pH7(6DrN3{CB!-FffP}JTy-j> zLJ$zEM#Keub2Mkn#QzW`U5rxmHLH`V)s4kvOL}f!(O`KGt68ROWu|4<%d1i5ZLWY7 zPNykruIH%;Bf#btl3tXjn^dcDqKk`=>IrHZ(UwiTc!;fsw4q z_w~cjifymrSTUb7(7kx44%nGK)LRsUTL#J&4kOkt%%YNjJ{HO+Nr z=ZsO$#l43d=xkgY2FU65gJhdK>{$6|r&0e?@NzT9Q}EiWAg{WCkW}GF#pB&^_ifqv z8q2cY#K2+3r;UW*Uamq-j4JxY9fvrf)a4s%vWdH!sOIs%%~a7vKE_UXJ}u;watx?b zdeV4EfYtS@#7a-}JZln4va)jjHzR0ce`miNh-7T!d&z!?`%jod`T&3rGC3&F35@VU zK~3aT%8~g3Azd+OHHJjq@z=LbGWXoY)nR8-X|}MHZG2}jl$yrv!pi(R0pY!{A$;4|$41XS<}5$vGG`Gx0aaQ-wR-5^{4a_d99WG_ zD^PTA_?&3ej_d3Oeh_a;W$N5~chv9{W`s00@n49$C*|!W!Ijg8vVYcJ*g6{yB3wL! zp&WYnyVv*2UoRM-Z`RtG%Q}bp1KXDn+PI$y9q<79^#c-3<&{jr+Y_%e4@%#abzCqWh z2wsVA^qLc@Ex54gJJ2D7ecxiRM#P>)=YjMVjR|&zYtb8=4+`9$@B+>v?m|EF zaU_R9t=Q@qL&hqIJ;MMvcRpdu1T7G@gvYajubGs-(V}tCMEHK!Qg}G6m*T{M)$0}x zjA_GJHsAg-BO)nj469Z}>@U0&qBFrnncRn6fcmT~a+ifnTc;n08e_(}#v+dEdJcya zw!*X1!2<`0ny&;9?RP+6$>GcJld6C{2I-;$?wG&5N}M?{Zj<9fjLg~q`K_!y=An1t zP9VxeRj&VlkZyIZgbSZ8A^aqjeL#~RzR-vqb)ES=P|gsUp>TE3MijHmz8lN!x)5PJ zL_P?uy(vk;YE5Z8%{$kSPX3}0SW{4Z{n<%bwZFF^%xT(G0d>djs>?~rK4t{JVa-CZ z;6Yy!P#Y9jl94-839(JpLeuj4bw0a$*f!{w^Voe5b__eAW6{xainXo~)-Kp0?&HC97Spn-cCH}8RCz-eR z&|0wP^wF>h9>=`Wtr{K5qIQL0R3D9umNR~h5CvYN8^R3cHm$vGlHwmwiama9a+^i5 zG&OboG0xkk!PiE%WZcN)c0RY`UP?lI_ljiF)MFY6?P3L+!IyMG&U&qUiowiMEoB$R|cU8b@Pu( z9f~)lZ3&%UAWbp~!l2T82i%+X=K_4#gTgL# zrH;s}GSDEPA8%59HD!-4pC9h_LCd%1nHh#Y$iI`S^Az?LMnxHys}iMu`l4#sKd{<0 zv5({j?uIc5&)O}R6vJ8S@Qu9{X^jcP%!FEK;La>S+>-}{b(hQ=Cf0Le4L_Oc-qOS8uACQ@2B}|qw;TZ(&dFjVqvnZf@ z3yDp5dZgT%1+ZaNRO({+DG=4!v9Q{AIZ*I2vG5v(fxjiY-*E0eU2ZF|hMa)<81`LV zSQYXMpNFuf58SA5J4DOgQ+AI2rtj**!R$IpG})agcQ^kq8FV7kt#hqyrH+18d24-QUU=|y-vgj{8AJY9a==qnq~h~^{$FV?DsJ~!J{w&P=#=QA9^okVtBn*gnt(;>P;Ss>`h)W~!m$g8 zXd!5w2~&d_#tsN?1ioX7noj=jkY3x?U+NU72_3kp%3_eJCE{M+p*`@FT^Rt^ci`bz z6Do%!t|ku%XKHaz_>`umbSRXn13a1qt1w{=f0U?2TZ+%DHxU)Qw@CKjABtJ#*lb5* zeYIkpdn5S~%Pl9`1eun1)2NFl8SPctZ{zS40hvH4s=}U@7mL5Rf5M^A& zbpO4dH!Vk6$C%*UeMf`kIy_3ot59%=hY3G7LHLeIjLH_qp_A7s4!y|9l(Me2 zuJ+q}P3dYGj91o(+tB*}rm@8GdO$|4opwn15Nr%({8?iw+BRwChkKJ%$oYRxxh_jD9j~eGsg@(q7+j%fx4;c+| zgMWb{b$hzIl@k5rc*1Pj((gKWbfK}9`L}WLW_?XsvXvZ^y%cdTRpPB#KmDB5=>ny4_ysT^3OFZeBg~JXIw} zDf{?zS{aU>8}nOFpkDMv=}ht47++sRUeRyy#FET><$Nx~y3;6-PorrlL<@qs-!Jov z^`sftQ8*{)3Q$pMFwH2T3B0Q*JF6!itaSPJLf(%j=zG-1z~+47QmO{4>z=gxTNF+o zs8$KsSBWTfcQpt4KVEqd`;Y{1MsZ5z7!;)=qhg4BUWD_HvOsKBOwR9sa!HLdhBqS! zVS{|g*sI+GWz3hHQ+U9(PSX56q`53?sG0A!T_k`_C}(2&p1Fm@8tCHa)DG>te<9C2 zmWq>~y`Fwg-W%GS!$_vY-R1a11FLDB9}=W^sq_j=uq9GfW6;`;hH-GuuF{W7J-&I3 zIWABa&=-NaxDV%`nTMK}4h;`jKKVv|j2S+_9jZXMU)DE445QZ3#7-}L?hf+f;BCS! zRd^g9v3Hm;9GSp}kTNF!lsOjlIvjK9WAYM4WwN4s*MYLY%yq z&6h0@loBxwk$x=*)h*!P7c8=2TVy(s-Nz~A+OjoXb)y7EVVlpnqeqC$g+4WgB;0dQ z>mIQDooZY072Y|>XI)xA3mvcfqd3pyx{)k#$ov*|Rcd&FlQ!VUeS$#UxCQDx^g|q{ z2@!!8c^A%m;@E%J5+7oku`#*}3(q&=5vaeHkM(>FmQ#=|XH`m!es$QcKCUqwToK;t_BO!}*g^M+99uU~7JeP}! zV^dlqbr~0m&9!q4lxAq6$=kk-(sY-0X3Olc*IJ@K;iDN8JEUH7DI#~uvtX#u`cRN> zmzGPHvuTw6aypcodN-t?&@Mf$0L~e&iv#o1_q30C#9kV4pUBiV_!*c_`QJAnoYWYX zBoAFE?sFnt|Mg4+~e~QIn+7o`??o+Z^%^OP5w{NUP$Wg z$>P1!FLgg#aZE`2IzHvx=`Cw(-*a__PbhSCzdAo>z}T3ul~Cz6Ute-fx@9~D3VuC> zrT)8&S#qkzyfVA4Ni|mY(QHI;^O!=ZX9X6;r$ATT_vlz_(gwmvaT*WUe{aw4#6MOC z1&yveO_5!j`L!qb&R+^U7_vM2`CeA?JKnPMduWDu>^(;_@+WBKc*LGL_)Nc4XFE`T z{xbg1ixjubc!O0{yf?cgLON=7(;8VF!6d+WFSuZBsM1Eu&|r_(si>Z6Pi-=Id?2Fy zZn@Od8~U_*3RgIC(@>{QAzfTd9yh-Uz4iMu%eZTnxEKscRU6TYWTfxRDB#}Nx^KEn zqaXbmTV3DofXp;?A3tp~n$lDkQ%O$!ipmVT*hEi+_XH_zH~Sasl*H)BEi36erC-aN z6L#>^s*D^V$8P73g_B8XlK3vw@SfG=z7O8k4E)#&3W4$hSQ}0(jTPD)m2w7;XY)G3 zT*-S}oB(fny6c8Vb&46i+G27c%!iWk@mMftI2CrOFZnw|EMFtvu73~JIs&8KiU)iw zv;$`AWUP3Eqv#_k<@yr|V*7MGgK5BuvVL;urXYY7Fw0+JpTG!(nYrl?wR~n?H`HP^ z-4Ybcp%)4I0|qXnwkcToOU?|zpV`gMJz6jlZVxv5mDqg+iE??!bhLvU>q!Sm?AO)3 zLJ0~JyC`?z{k0-#p`KZMdPdfcdb?@?P6$<;TiOcZDT8S9eVK?)yJi7#bhlPW0VvMg zcwrkof(1QuMMSxtVa}gM3uFkfZWjz1VuttQaoso{BexCNRm-uNLy|40{ibuq%ohz?|MK0N)wjmc2T{v7Dk_Fps7JEN_ z+Z012M&`L8>yyvlzjuRhBhvg}$CF(DLHBatVw?KNAa z+;38`{}3(w{4mA+@mZM2{#&nAwKMbYLnY0%vnhzo*)YwkH}$Qy&FtxL_bt;iN!b%io_UUcQf7)+6LB+V`XDM~Vu`JGnR zb)0na3?B94-^eO=f(xXEVVeb)2$``mT#+rj8~#YY#n4o@N}1BwkXP;iM?#;p&7;-n zLje0)V$^KM1)TfEp*f0LV%ENx7U_Rn+qw~D_DP1e;$s)HseV(c)Z%h17TR6)6dh=Z zT`E(25C@tS#4Xy!-o{LU8d+lFr6=b;)9#x* zNm$$%dX5GbIBLNBUT*H+qD&rjaV)5#Q(OaXokbeaR0T^+K(w=flIuQuK!f~6z@n=MI%M6-#%sBs5Rxk z{Fy|vb-xd#k+rsCb4wUF|LNP2MSXWS^L-;kX0uwI;@k0RqQ1U`k)As|kA1Sy)2T8Q zyS^m((&ze_K1K0>BV_f=WL+=wN3UfNK3%eAqH@NsrZG7VHs<tViIy-xg(nSia2GU3y2BWanKvU_!kk$`5Pl`wS>hMT0>i z--=Sl1OW*qUOmht@xNv{6uUaGT>YOMf&LXNtA}F#Bh}Zev55oA`IybEuSlOyH!nOz z4dI=kSDw|l)7CE*oEJ6KEQPu+3w#an-R=p_|CVT{LvILqc#ZY)Jt$p--HqhD;n}D z%w|5@=s(|q_?F;kq@nKjJL6J>mVqgebCujfz?xzz4Vvyo^wVwMJDLl# zGRMwq)#f*1O8()&aw2MUm+s*q~cfyLE=ou zk0T&WPazdk`P(jk{W+sZh6jzgm|}fv=-J3g2D_+Y|4w@syk@&jnR(jC0>XHLqqXJj zPYPn{KLyFizQx@qEmf4`3^NT4$+#tp0-Ie491pi=JDa8zPss~-*efv$y5sJu@wRne z$WxvOK==hB!C%TXklDmNZ>{65G?_pl(GOcK7ts6HUy*H#I${Jp#vWeeiHz9&kh?S` zuD1`{w5iPXWYDxwdp5IEi+)Qyg_N%*|(H;>p-GqI8rEz zs(36;Spf}}>Xa_84#I+cfFHhXhC=5&2APrlHLt(9V_b`oBofI$X@t0 zv<>8l{!bzd`-)_r)|{FwhmbLns{)Cu2qD7EC^qQPMKcF@um1tq2)!aF>1F6$4VuT* zZnJ7(9eB~W4B~sGz^N0sRS@l%po}|gnxeXGh#4^*=@%Bazgd$?XW(NfFe~9b7H})6 z?;hR%6No)KVM)y9X7BRv&OB}GO;#oAS^!O9cTZP)pVBO~i)P=fiFi3XZYzTD@kU}l ze&jC>m5zz7^Szw8R7};6%e66J=8J;%uf6OKNet;5ex_S1ytYpx!U!nXh$j(LwvTen z1I}M!&VCxi9*U~}+<{_q^JNlRCIgOs^iE^ynY7714TMGfa~l?TC^R);sS0Wbim$U8 z{TjTU+z^3lb6R_(67Fd&-u}1kUBf@t^tdQ0X$9o=P!^i14F)I*jSWTE!k5v1lVV7M zDe&S2kYGhvi-bU0%a%_HA{pzmK~f6T_0pY~PI=GrqmiKSbWQawCWLDt>11_7qIsA} z#jEqKp^=t6wF;>-nUd=Cco_c|UO&KcomCqG{&Kx2I~ z224}$S)X7YCr5jwaytgjJkuF!rV5WxNtx+a-*8#{zf_4}x|TNLUBlt&0Sy`gg*G0R-IV_16zrgRdotC2`XZ%h^{S4xHvVLuV z>0TX5;E*?MNba#^!xoH0=O_>adk8~^a|*1>H=;pc^N>cnG%O-_b?Y{D1}eEPSR$*3 zoMtARJ%yaF%Ppm1CLJG@4%rDY38#LwX_R~r>K4KLL({^0D6zjiDaUcP3B3G~hcsf5 zPr?!H6QB65E|Wi}ITG^CPpOY{&wnw(5sF2a>N+E$>WFYCo|t z7~rC3w^13pW7G|wwxhY^fpOTp<^Q_9@jk26;q{so;`tpIMHj2b;+^du{HtjJVOU#n zK1ipzHU)k&ecWv1Q>5tpr0Y(e0JH%AMc`Y8Bf|=eU!3y+<-~~P)0M(|#vFEk7yNFR ztf*rWEnV{+yrz>hu!x=EVNdoX!vkB02+ZBO`sDF0{Q=dey_gj~ju zC@h|7A?MKczA01{93QsQ;q?;ADV7kQebp_36Nj|C0{PMH4@+2yk;1n@&pv?y1>6@U zF8vX@MfBGw7w~!BtQzHII_{kU){4&_Mt8(5fBojfrvrcZKGN407$8XhjBkuOm|OCnw9;I&YDT5qxGHRj7Mx0OvXe^wds#VOZC(cdGGms3rIu~eQl9oXvU-JW*#k@cg$ z`(Ixh4}UM^JW8BWmSUUsu1d{tH|wQY=T=|&HZ#W3plSYiX*cPW35YgFx=+7Z)~U^( z>m2x9CikOSQ<@NS#jOum0w-c$eLxhk92G`G9FSpF`VoWCh?Ax^{j^L6o#{rw1j#1L zG~q0U1O`NM#)qA{5DJ!zug$IIj3dlK=^(3W&)0y=NnO_%qjL1Sq$Wc~XPJIyRH7SX zP%!yo&A||v)0`-DZ0#F?%ho*R9F7DiL$aqTvhI(I^LnlmmuptqR_}Pne=#%-eg9^U zW}2o}LRv_fqd^i$$N%dV=s64m{m^(zRF!3|4lslXK$$o-zshYXjes?N)#IPIbNADz zdcX3p?Pa$d3{EiNQ<=A)xJ*RxGSJ`iXH)Wam;jOq84?1pSW>M5+~S;@tsceNCK&96$%(o|;hOZD~_ z<7S)%36T;wo$6fCm8kB*5O^)x+~#-~N}|0rXfZ{bnCENDKx4R$paARBv;F1NQ^Uj$ zZ>RBq$z$VKl%FNyb06FysJh`YrAm9Mz!8fA+Far#QTj9s@yJ;5Yq#+2{7eppO(-l4 zXOZVpb2Etsai{HF7?UB1{qcJ>zXMB``x1$68DP+{K~r>Ds6>ZV9ie=as!h-FBM-Sc z4^5eEdg<17MdK?fsfBM=(7v2x%+RV+GiBYI==d`) z%K?0|D8$8~ocSZ^e%r>i>~~rh)wxBnRPhp1Z`C+SwzXFP^dg=ZXshcz35|6dqDWqL zos`6Medp-UGHVZ)HeUHbZFnW`@RA^WciJAlZX1Lfr?l&|hgXZwN#(5{BhbZYN#H3Ryk{gDML-c_d5o4~SR$)%KJ2Y)(5YW% zY_Ji8wYHUDL_N|_35aZ%w}+c*q1=U;yQZ8?QmYjk5oQaFt3k|+Y>hOCqo@3uB(}}f zwQs4hdYm!FipV&kJ&KQW9%rn(b@~7$rYEL*o&QwI%`c3Nhyv{7e$F#miQB zHm6%5-TDRm!+15&#h-}O7k?~Z{z_sfd8rI+j7cIi8nFSvoO4nOThu@QD1dqdVw26D zco;QkX&1zyGc`l7F+4p`Uu)uDPCyJNHY&jT6~K>$s)M8uQ93E3t8}c>qOuw#zzE-~ zZ>{4gha6}D6LTH~Kh)pp)_>4$o=c#mu4f0;nG_%nX>uh~3E7_uHKML0fgHpoJ<|Rk zUtbj!N6>DIyK9gjgL@!IaEAec2M7`*4DRj@!C`PG$lw;-EkOng?(Xh`OAh}%_deXU z&N?r>s_UzMsa4h0y}xho&G;_KSi`rlqalm&9oMMeP7*y+Lv-)v?j`tyXe`qSH!d2h z36-KufG1>k{2!j>wR1(<9Z{L+Y>Hksgq?+4$UL!tEqHaFIRZ~wgST>7N&82rbX^@- zURzr^QmGFJR|hh)ROCsz9qlXD#n?~bO^uLDm5H&XpvT9IJYI_+@()>_ql$bNq}QMr zDnh{Rnof`Jg1ND(Hy8%}E_3P1w5=RRi%j*Ak^_^5`DubAXXtb z2dN}kOAvVd40|hLeU&(|y(^gwpgAvLiSz(yHm&M$T=P|HcR#|}*X(7y90@RR7(SA7 z4veW_wU%#DzXy%s;ob7dl(G8YDrP-)nbHe8b@xWFRsCgMiGfbzf`*0_nMYJc+vPVu z%6lnBJYO?EQwcKRKeP`C|9VAKc=04}JjF$_0vNOvi2+#+e<*dcZ_c;@(!4j_%4GOqcr6 zkz}-e?en>odcp9nCF@iuxg>X)%6_nU^2cBz)-JZ_oe!VesT0H+c^S(pn@s9195mLu%aVz)K~`mw z%%o^IFtVQ|DS9|w7P9;@Umi-i60`5`L5n|f*Cg{f9pJI}O*)yRQC8_`g=#9P%&1`q z>jjO9hB8{sE}|T@?nQLR!0Wq~&~;wo)1q0qwj@u2M~whI+33nyXJy+RJB06(h;mQ? z0M|JdpGbYUqGUp$i4Phj(qR`~!s;s8ZybfI)Ir_lU*{85OT6Z@>(Y9E_*32cm-un6 zMrFX>iQZ2d*lmZ+Yw8-=9sVv^!pL_W$14d>EAg##gOl;CNoGE+<2f<1&N;%meMwI% zLleq|`*8`&E8Nam!sLHlbA%PET~LGkm4qM2r?X+7u3Dut!+6GyEyv#f!?sS)$Fx23 zq=t%bw@T;I!5cLIQ$~11Pb1~!)3u9M^kjlrWDZ5+U85;g!d$^7VpDd^VZ%6EKDoAE z^Fe>>LU_N8$UV@SaZ(ihFinUhAO=reXASWW;~&+jZ&}$E&MdL+-sUE}-T4C}Z3Zxh zDk&(7-UfsF_!T|<7gg}{J~9=!5zJ46N5e&4rsxDW&U*P3W!S|#5s_JZ9>>fIu^g8H zs~e%$^Isteu^Iy$925-S5ukFB$toiREc26+{E9>lAGq+qQj4#l&>7}88QYl7>EkJ* z@R)}9YH{%RK`}bY=GTg^15?i(=sT?!9VN~vLY}&Nd`tOLRpf8UKf5D7=?xjaflSnt zn@h(K1^0EFmJQ9nEj((b6F^m7j0vUw2Bq5{q5Co3#^jtq0pDbc{86#3wmxvZpHfFTuPzmB`Ubdd7 zgMRJ_=BvqTWafNd_^dq8do5l_2^sqJa&X`&v-)|&SF=Sb4jTw`cX7pjmdRB-3 zbk4<#>kz6W7kk+X(C6+TkrKf+BJ76NO#$aZH9F^fIbTGzLeM}p26vH3PQq*LI5LPN z^tumC+9SXdS>|u#p^GbTx&arH+`DqKmh5*CZin-P(h=VHhI5n+zul;Uk`N>*48qcB|lsgP@e!H2!i4n-Oq$GV| zdZn_&%Zy!Nj}R0B#;Y6%*gbT2&Kck`vsMUvpiP4OjpOmKd!sT|IwE%W=TiMnam^%& zX%~5;Ru(p?zt4(^seLWVD(w%@=$2*rWI0b6_DUx>*^$XFCaMwlo9Mbu03#t~ckz_~ za%|!^v7vQ>w6tcyC#95?^M&mWE3r^t`$iH+ zx%=P9iybls?_mFa^K%x#cV6_Uc;|>;HnEP8`ufXrK|+@$V+~hc+cP3pl0Vw&F8mbq zy}M)w!e|5#EuJd=Nvxe)5z1W}fg1EN!PzD`e=Vqc@-2U6%6GFU>`u)io8M?~ZEH?# zUtd#ch6d{Mhuw*M;Nf&ZML~!b?ps{Qs1J;Zu`Pt1y~Ib&9sLUWXZvASFA1mX@G7)}bSS*6+jZ&sw;{QS&bOtyk^Q@Z|NvZ z1UE0q$L*BAp$P1^NADBY4Mi1s)@_J@D!#FlL#g~r$&xlBg`LpVAZLeR> zHtE^f`HLgijdUFkGy&auWS%-v@taM4`O}JQoV;O$G<9&an_-nXmrNTM)Sg0Bd5H4Z zr*6$LetA&OC4!{IS~2F{Pm+^INi*10>q~98y&%xQWj@)srhUEGFx1{yfM74>Bx|h# z8N%Y_$8siBRMgl`>2>r%@_3{^mHe*NN-<`Im!XE6K{;|1>^Yy@v4?YZ@U$X^R`-xh z!dYJ)8z~FokPu9huD=kex`^GS$+XLc5q6WbO2I6FJd4iE{jst?Iot;IM}06NDBNqkB>b?m*Fg z@K%_sKY=GwWwBLFqcc0qb!X;$R`fWP`J$KFXXQ$9w-ly|JixzZ*sb4KL>k3}&8bQO zl|CPM1`_z1tPkI?4!5R(f~#0v(^{i?zNV&z%38^BuK8#!psy17%pKUl@Ri#mx$;F> zL3GIOEM4oB-y>#aFHZkTyzm#t!+Gm=>hs--lhUitj~0f1(oGEiL_Jm#|K$qX551v6 z|961L`CDwY@U3h7eLS&Oe3{;r=|$_dHe2Hk?oVN&Cyg{d_p$d^qH%*OPBxYOBkTkV zAjQz@f$X(0c7!wavdS_ab(6v*kG?{2PnX!J02fF`C!wMGFb(D- zbHz30G7P}fhMa2RHKbV}H>k|87g&#yjR zL&)vAzH>ft+7Bh#f)}DSY}Lg4xUMhvSY^evb2+jT0c8Y?!wo$^mYQg=IT zD%L>>S4nRL8pc-MeiCOPrBSE;hs|iJ@y^G`X!3AVPAn`c0}2I6&SaYJ-j%OA@8sd6 z2B`o|-iy7thq`xmo6jyl#@=#%+dPD^FqeVjG^wnu3@VaCf#4g{3i=-1pR92Q!jW3K{)CNO00`P)_kJi18#|-DfHQE#kXUa1E4?*5zo*1Scq{Qk}oj0HF7 zR^CmbEhLeL#7#1>Mg&pxmm^1vU*ypuc0$_J@4>C6JB-+obM5N#-6&RC$FK4P3lXBm zT^I_&!F}I`b4Y*0f=D%ajWFR6BXNSPSkQRjhTU2O>diPN(nh+OXWB7_Q4WzV;bHGH z$tCp}Dgf%)x#pU`(oC(UxU>;a;4}AONN$La5`0PIV6L5Kk90f?KtTyfk6Di*goYX8 zhZ<@xDV5 zkjD)$FaPla^#+DbC`tK|HP!=0`R`)5{lFl*C~|n5Ts1o!P{56z0}9?)i^L)gZL_i3 zL}|eaf?vp{u`99cUXlKNq7s(}OB{<+xgmHzs0Ma)V%??% z^i1nqh`|uP&9tLjd5C~z`Vo<=kg!M3(`}&A>Fc@$G{xr~u|LXTS#Uv!%PQ8FVS8wTlT%11Je6e5@8>}@th>@`fu0*T_26L27e`971u z;ciCC#`yIk_)$8OtH659{^D*m^b)~$jP8JctgA6%G(2jx^MT!zDCjBqvd$H*9OaEt zx0764LDr8L>5TEXmTNCkFYpg<)9gU3af)NdX`Aj-B3DG%u8(Cv|KiP{O78w%(%Pi$ zn}SmGs&U>!!N@Ga1AL@JJq6LsKK+(2oEeccyFmxMXRB2OhAmgJj!K(K8vOHA=NFC?0}ZVH+v~ zqNg9)-iVa7{Di1iwzq_R*c~;XC-k-%Q1XCp$UQPzb3~gM>k1chGgD%+#j32jT-c*E zy-VQaOjdRfh9HU?SqB^n?Xk1JXgQRgy58R??xDOaYkiEPv^*~BZM!HQ#6!xQJiZG{ zKHhTf)ndplkd>Od%=@}D-E;E%|xrz*v(#VrHDr)Zt6@u>a!4w7_b7U#%YU8+@ncD8jK??(lzhwf| zbT}nWeHQsa81;B?gWr4vx5k=fip@{*jn=@#{{IkkjWYebG`ocmt{T+CH_sXg;VkZu@-7m6o&27!tXE3HQ-9Xl)(d)T)t9qU2LX&@g95CeaZ=!kJWET7}U*qK3q&{wbSnwZ22W#9AJ;#C%?mdvKd1V1h40 z45J#G^h|E*cl93$*n|W8#YAMYQQm~?@;MaMvRRvjxm%*!*DJ~<+{djT=<3uRG3`>~ zWYH}T%K!yK1!I0q_7Z7%Q>H8by-H|FgL?}O>RRU?bm+LKA}3zgAAHLQoIC9l4XU75 zB);1VQX19Iu%N~l;tr}=u(b+q%{rWJ*fM4e>OC?hH~Y~v`#;Z~>3(*TRN!;^jm6Enrhr6qGmYPel0AYuNExpDglrqCO0iZ1YI;+yF?>YMup z3~N@>aj6Uh)`~3r*et*M7xN$L3z?NpKwzT4#U3DV^6|(vC3^_^@BRe`+0?h2QkTP` zV!ew8ue(!!1JufSHt6{dxQ;K=x~#YDF-lx2@h_7BnJh$0`6g4R!SQd#y^HLNK?u?p zPTOt?bG6&Y3tlW6ivtY6Y-KUWAGl5tcMf_)aOtw&-K0&wU3cP;kSJYxkca@3sg&ZL zyY@~-uy5F+o}CnYl~}e8m-uUpfJh|He}#6syUcI^GVv>jck@`?S%WIx8?Icga<$WL~eqZB1}eUK$dEQA7cKVh^P{c;VF zJ}-^~;K8CZXZlHL>JvNqE7xXP($spc5u8F?;a0)K_wZye5#9JB!%HMLi-bWVNtU3l zjyTBB5d21^%YO(p9a<6a5lam3$u>Ke*=&1*V$R<*A?T-%RAZK-bvBMu)nhvaB3_}mgb<|J z0c`Bz-Gd(jEmGk|&HhRBX4*06OW=|qPiI9>%e2$e*(rzyRl6X*zVBogjvKA+NI}Fs zpoqbC9V|x?IdTu`YS<4F;?#= zH+3qG8U=6AgZr=X7rh@M7e|S^&wKjAq{nGE;R22zD(^I3&00Bpqi=Zvc?g|BzHhVM z9%#qMIc4P!Yv08*(DFroKxoQ+ym6kl?an+_yqZsI?|PZlsm>3$sXm4oZC@%a{+kxb zJ2al>^j>n}IV&yC@YMBJ{2JN6)>J?s8>&_@I?@(uWwrG%LZwAOAb6C^Y@zCOcZJc+ zF4|$p&xL4*=sYYxbo;z+s&g$84CST#Tqp9`RcpE|6Z`7J(QJ_KcXI~e(LlGIw$Z?n z%?r3NLb`K#hMmb0)}W_dz3q(OIPNZ0l;_8Y#FlPVqz4oRLfpd^nv*m~?u(iRbvr@7 zja(j^EiBE_mnzeS;4*@foK6pughm`~ejldYNeYqi*qM;H?5){hecY(hxX!3r68vQJ zDX{!Emf9!D`tNznx&(hHVlWrvM3aAXWWvi{1%+n>Y?ywE*~8h~;O6V<hD*34Dly*o3~TUVYjS$Wo@}_g3)~_&W)7D#$Iar4{;D`c278wYp^#Qr8YRW(>#8w z2K*odn=(IqhGam~k~`S3l8uagbX)%XFo1{pWgCbPWK#^?nF@!MZZ#OJ0JpMtgu5gb zjk9U&H@MafxqhX$Msqz3(a?7KgmdBXqhZYmu1HWYSyHrwgCCy`JR)Xx)`l@*m=hqg zCKO>WF^UM65bw7&;X^TJZ@7uTDj23i6s}ovk=9cu(FS*a(szlu;*Vb-7`nZ?gBzFp zx`+E}UmXN{kwEVBYe%}#nIU^#jRfeYbKU!hU_s8&3f#Xnvh@fmIY;cFz2@qu>6TI7 z`L@UwjwmTpiq zw7ob_%j?)+&HMmC0^1CjkebYb4$)_CyN6#f633<|Y(0lZ2YDl$JB?UycyX0*1L&`^ zAIel2?Qf&dsXqk39m#mL%2gJ8Fmh`YYQ{)Q-bNI#oYho8Xt1wd#Gc>(oM&@GH#TvO zVntMitM|dm6=`V#*6W`~h1W@nv5uO~*y+A#0)3${UKkPX2t7tWLYdJ~GtL@!5A8~V zYskmYI1^D*D_-PM`(>S*ClYABrAF$3II%2r@J-1Uo1NlnceDjPYg_m%w}A-(0t=*4 z1q*B_i`$^H!6TE~AdJz2(#1vX;;X+XFQKF%SoY(7m_jV}Kqv4l|1imc76J#m!Vq%3 z!I3Gh*^~RtNrfQf`VRwaB$$(DD`D{^+^-kT76)gq^jKZ~3>hDDRKQwlR@D5sVvp^9f{DScSV#cGa7RN|IAY&(3yMt8HNu;(L^D2=AQ{r88_h+Q|TE;ocCs? zXsZ(i?m;-p2rMMIX_!p92)f9wh9-Y$%pbq}Hc`4-uTYBz_cmjL#{KUkEWCE59gC!Qt2te3#R_vE6E6Oc%Yz z*h#TVK*$QMBv7KET%7lc?!fuOYT&asvx}gk5=X4dj}Vil(HmBvXp%p}%P$uqft#GoPMAp9HR5mb z)i#Xpb8pWX5??_MP&bo*Ls7_csr(X3hyn=|L)nkV*eeyGeXNkoNG1+r)oZ}C2 zXfDOqz>_b30vpOb{KGTb&7}%NF)*&v=uTn>G6J=Yo{{g>p)JJ{O=9u(#PH-^Rq!CD zTW3nRJ$kl0chU^FIw2!OsBI?d1kf0nD1jvE!B8qHxM>qE{RPExgLZwRt!#5Xck~e( z!%f(_-Ks+EV@5D6_)HJwq7t=-m$$%OLGJSOv&4?;3-XyK5jwkZUzfy%Zh^l2oVHnL zt~^SG7F?wwC6L;R1`9)aPN}<7q~R}oERR`1aOnFK1ahVh5OE%}n@A5D?gfJp3O%V^ z3RJ-r5tVsP2mNY`20Z8;jZvZmRTeu1M)y0p?Pjk8t8E#S>O zXe7iBdRzB`PFhOQ;jhlW@U1|S**zfX^2nc9CWm^AN|=||=llq>0TZp1zuiB`wu15SHDKJ$g~+dlF@;j5pWhE38)Sj^Eli=;yAmYm|BpmC$l952*TH3ubM328r0Bx=I+U8ed$bA zBBd)$3Vfph8^~PSfL3F2%0npB%+i9+@;JiC`IFN9gADwGyy5lM=-$rNiND&|$VrL= zkEklGCmI8O=LCqbs}jPJlig&8^>)9YSF%#F26Nw6tWyLS<|3IfA--C9J6Waf6tSyf zT=!lId|aizivY(4zJS>Bdi;`-U1Pc6*JzimwWKBuienK=nmjmsB2zkJia-Q{VuZR( zm?J!o3gfSh*R7zy1wW~>C06=A7cUl_FJERf?c%mF;u9#jGV3tADRW@kv&HdnI}VuC z7x=$+RzgKOLV1YlmLL-GnViAdhx>nI@0UsPTEKYlO>jgvL#$F6M*OHb3p&(ZcWz# z3A)~Xsv*MaCUwr4KhyLVmiMlUT9ltoWJl-gRfJbk{MvPx7<07Bj_u`^9}QTAlyPUD zWh$oK)fhy!)jv5mut{3F>78%B*=K6zX6SM8Rlg5=lGd*$RhhH58+35i7sOzr7xGs9 z>V_8Pdc}zkU~1iUPRde8e@a9Ktdo+WSAUVNNRTs9kUX#k0GMh!OC-j=X7lQnvHdIc z4N*dn0?})~%4ki0I%LQsP~3C98zxh1D_alCTgfC`fRUh=hW0`hI#e$9Z*ruDl3biV zk5lS5_>Otwt{e*A95m<)0%f^T9+h?5zee#~d;0Q9jX(p%DH9ra9GUvqu&o{Y~+x8|IWV z!f<)gAQ^FV=^i4foAI8YL?Ra?l4;~)VuG)trtEYo%fvCO9ZjYEJ)@BipaLuCE*|ia z%<80qDFtX#KJ2ZTT27!Tt#ka50j4)9w~d{`m`xtu1se}h7aE|QaG%jtfp(b$q}bjW zzOV%pu;3|oNYUg6Rm0kV z4~a2SNsDv0DLZVvQLO7E>;zp^f7QfxW((!1X@=WEv%6oKfRDFsbB$0sQU{2O7`ReU zK5Zt_^WlU8x=NsCX|CbPC8VIQ@F`BLr|A1E*8|?+S&F=iaRM(>=AL`PQTe{?@7TQ8 z_}x*tOTO`SHkrBj9COQ{ihjOer_A7oRsMH9bIcu)dm_3TuC6JfK(B!>x86F}7Rd@< zABNPApy&6|JIV#!sA+kZ9VP9DC|*QUNg-Odu&>Wb>UTvy!abF->BgA@zc5*Q@$-}& z(PI&xW^_6SVXx}L4O%Xzs26jqp9iM%T0-!GevSfw;#)|smvi%)sb68|lI%y18br09 zUqv5xE0D9@km$ubgrO#W|qT^4Yi>a;6KU}C>$r+Pt%;#R@ap+nzU9qYanZ-us_c{O zNRbEVSXrq$F@!2iJ-dg|Y8%mm)>?Atg+?_#J9sSlm|W=#bi$P&0Q|JFQS({NKUwMYd##X` z>tv5-@_F3gjN*x{@5mSt?{Dg)tOnCT_Ou)n(Gq3B4YjO)|J1O0_ditjKThenFtl&o zD7_V<<{V1z^mzKyk@9(yQ@YQk4d<@C_$c~kixD6R3uR!?BTavxO%_S)o7ML`<8f9W zg=N9l+{+HrF6NV5B=u8wNvrG`v@^iUax-=G$Ye zotl3@>X4DBBE8AE>tYxvAR^n|cK<0D-#dQgd31H7(P;W~dxpBl^nI6G+G^q%4-{`( zx8eTP6e8T4GqlGi#dwffxHIV;`hm3VcvFMX?z^za85Elr zC?sYXQqZknGpmzO=bO^=T_aVc6kz3>PeP;KnfPO4Ob$Z&mI%iidan_))1a`op4|FK z&JfNPVD;^9iQ*D{;^UHuThz1+mA{27>jszTOkn)$#5v8I&;H1g{AmIg0t79@nE zYAd8v_}Zb?wwi*jEK!sCAyz=@-j6$0@Evu7DXzXB$7RrjO*($pP8;{7>igI{Q+L(i6hqbCX~KsJz0)RRaKJ4cT_o#0@{tl)rHRuDB?s6 z=$AtM=zm!&rc=soqr`AG0v+^LQDo(#nG{rff51%0rohdtvWXAL>68Vb?n-EY3ILfw ziseh*Qe|v>Hi_HBBQ1}dncw$ip;>WoHQ+^I;7(LZPq*>!c$1`aZC~K|Irn#${gV(t zU2+kM@7*$BcX{6VvA1KkdppFXwr2W-Qgi1NONj{yb?x(d$m#$+lu@IkJ)MLZR~vi8 zrHdG3t8C)Ge*oAfK6 z_}ny${x6IXX*Rf4{vITW_!hNmgdLnL?2aE+MOBY?qPS~i4fSa-O$2QWhdRLd<#}De zOT97VO}-%&y<}~xv)&*P6?T|2SU4l2VlH$5}v zM0gljbWnp`SXy4FZA*sUonxfr8!-2ZbUA;jN{nqV|Pe4YQT}(YMVhaW3U8zq1EDztMv1`3N3h4c@5euxHU0 z|K)FT^eHko$0_MCAkg@GNNJ4wlLWL#zJM3|9bbkoAijHiGH~X*%NSxP+K&*}6$3G$ zQ{`kAUXqYFQt|VJ9lY)82cTc~7flMR`hRannM`rmgCqdHVdV9Kglz=X6c81r7YGSr z)EtcxdGjM&c$|_1aB_PdJcPQr`>}}lzqqFE^8QRn!mexoc@%nY!qeZ^a0^Dv9y}%y zn#JS6t@B6RQYV&9F&Iyu;&4sa0-f(jk9aOk=TX66=yFj>t4EU?tY+(oUDA| ze$ID-;feGW*7p)ows+`qjxO~%2-eI(eEHot_Vdm$a{8^o!qaPrpTYo3RYx=_C@O`6;6l7++3@&a^}h(z+SA zH?IG(8!ppV(a1URqM@GW-To?b!%uWAs^C^Pz7hAk#v4V+V*ZRNDY2`ID733VTWJbw z3WZ_x5N#rR&RWoP1rteIZ&ADom+{E%F|8>y2^XmuYaD~|yf2@Z=ib36)(oaq~-5%uJ&=tB%-gXy{aJ|G+`ihOwdpx@AF$l z^tf${GNbuUs1y^eGt*=`*Ef4w-;cb{`1Paw@iIM*L_6_~>Wv@5Wdv$Uip;eK$Fa2L1 z*&gp8Nh^CnZ~7V8pih@~Jr?${?gO2n5u<6d!5SNLQlRmB7vBg8E;-$3W0{FcS(=P# z5|g_*dKsFqYZ5=`v+VO)1WCGl_>sIuYCLxJ*NSk!bNEf(wB1@PGogm$W z$rA2nRm#(9sJ#~G@{T7a457z3BFHbZVAQF{_JJx%X8KrSV!kk~IcQDmky~Z=0ihH0 zax^*h@020J2XjZMP(sZ}EA(VFszTja<61N(o=jjAag~SO=4UynsM#;^z)Qj|F_4GK2xy;6Ol}KYMv5fabuy`oQY15xnZ~L*WS>ESPFvR!GECT=TEEfb)pT0L zR4KAAfjIXjLbNBAQ0XnumuWYtOqnwR!0!_Q&1u4)5aG)b$=V4L$*I*7nOmK#LgL;@ z*TD&d`eT7G-w!dRekKXd1dp-n&Ul&@*W~p@X4ddH%Qsnaxpdej$df8$#217w=A7Ls zRa#p%9Hb>YcOT?!h@hjFJh>4SE^ecanP~Lu&;`hy$ z%G=a^#4IzzSmiT27-I~g@2m3C)A1UtbT1G{=r{0)&ibU$&Nd0cx}ks5)sc8z$?_|s zI(X%6?adJXN$HXN^Pem;?!SiybR$YVark0GywDBrIuv^fSH2YU6m20ZIXzadY`;7g zRK8{Fy+&|&W7R&;A6#zFIkuLxIjt2*AAJR%F_>OJV9FoNZWT7*s#^sR5f;hKM6*VT z1$H9tqjg#^h>r7A4cPaV&|vF`{+rTx0$gnEUrI_=<XD|V z-+;ptUpKh0YMl~2K*+bmwMG$2eAYEcEVOosce^Too09EfxGvo#OS8F#`WbAPte{6? zbs)Wqw6Dz-&8w|lXe;21Oe8q-c#7sOkD(34)Y-C;ycN9b58|ZBxFchAlC48?osD6j zBj#?+qM7t!ZeDND&h>in#)awG5XCL{;jaWVdB0lUpmpn2{neh=j=!&%<(U%KizTgO(B0;oDR-OG%F8jFKW zSnp8`yZl-At3ZSfE?vemLa0c+z*2wtH#Aqu72%hR`3U>N5_jjZ;|Q?JT?4q2Rn#IC zt=X55S~@mEfJa`h*`qXwLg60%h*i{TP<>s|oX;2iL=^k-)&B|H%`bkA7G_)Y!~(+@ z^4A0?z=MM~tBdIQ5?N#luxCYJjrTn36d%vdZ+Smbf6M)`h3}ucb*ni#ZNLv%q+5k` z*j=qZ`ai)nZ($h+aeaJ(3${Yht0r?lC^Pcn%$}@*Rm1{V7I(j}R zhI%OZMsMXL>}4gMg`T{F_v*CGF$co?XDUO4YQ+NIPdGfI$@A8r(}J5G41ng}P!fVJ zKE6xa2em6nW(V8EH#=0MW)#7UCSq)GDDjYz=>b<<+W@~roS(VHRx?LoqxVAlxu0}S zdWrT~31Ec#wZrWMk1Y{1C1KUU6r>$5-e6-Nhh?!Gz8|>|6}m+QA%zZt?2=;v zK}|)e!#I;Q2`NR!Q-}h^=_AEGLe05CioD6slAqcRR(|+XgCmEwPs{BdS7K&sNV=3u zspLv029`Mczn6(kouG9%H?u!@zWaTdLyskL|EeJUIhy()C2Roi+j zlnwE6gh6d3B0^8nV71*j{;>j|C#nQFR0e-U79|VRE#aRLM9}vR^t6Sa5;`i;0NJ=) z+6+j8!B&fo+l<0ViCdxU)>l(SR-wfWAIeOu_Q1TUdw;Vg9F9Ibm6=CHMEZyHh}-Cw z7HU#6v#30(N_EneT$2kXpkkbA0ToT2;4#=`=e?wL$ZSd3BEoLZhkgl>*2~~9bFZE& zOas&Xa9+L~3bcr1`Qb1z@0w^N<~Uy6gYYk*H9>U0(WlbGW%2T)=;zH!!geRdk+C(= zqAi2vnfUa+kOc>Z3?>4cx=$+Rg5yF0Sy^blh_ELzzMu^4*?I(VBqu$3Kx_o`ExCLV zrC-Oayv=0r>BR#Y4EnyNYKfxpTa|iz$t`)$E$!@(eJuw@Ypl{{it`xQrR|?>0w#tG z#KrDXz~_7*-0|VA@NNHETLZFPesZ{~8y@NR07XMu@vpu4q%4LG7JW$!{o$<>40B0J zxPq3;*I!ZJ%;lfhTa=$q_cz>O%?_c_B8=d#x1e`PMbIV;V*9TB0^kjbU}2p7&-%Wxx?^^%1cp~- z{cPG+O7?`2_d^c4^F0~kO}V9<1TztoEQkpVefqKzj{3IudEck}Wo;>JM-9c#id1YG zz_O~E`skA{eFwbJ{cdRJbKwnnCv{>!{`jC^=vOs__bRuh`X@uzKXKQou+Q|9Be_V` z-Z=|UHs$A?BlSCOhrIfA?NFtM+nYC`E-^|jmpGQeh3xCVZRfwOe`-bceYfv_y2Ls2 zP72mY=H{p>L<(Gu|F;-U>-sT_rm7XG>?ueA+_K<=l}~W(j}l1~{mm?52_Wbi#XsOM zDvnRgCGt|%Wb73?lAy)b@2k12#v?W;Ecu|!$i@Ri|7k9mi4^H-4*a`KFIo!#;wA|b zg6h=Q_xR!2sE%db`8*(PyBDud0#l;+kp6GzQmk{pP_&) zGmdJ(sAOu&vbEsD3(361PtYZw6wiTR1eOJDlJ9-YPZE>lX>w{P9^*Ou8UpA9oaU1Z zK<19^s9{^#5t~m5T|DcK5Q>;&4mkz)t@Fdqnry{7voK$9i!*9LV3`uwv4W~%20Qd# zs@394y7v}ExDuGGR*#Jf{@II#0v!-DZ4FP9+4Y2}z*d7w@JsboId_Dqr5D>@3EbMd zWnX09KtE0oQ2jM2r$CiPBdPHf-*;m2fz^t*-PJ2s?qls%Zo~JdH6%s^A60VDV4%%J z5;A&O=CePB#uRxg1ZP(zPwHzeB(V9JmSdD|1n1HFg)vX>F(Ve#Z@4w*HP&q!dUZ~d z5Pd6|!+f=Kep$(M@g^RzURM7K?Y;&iC+y1QS`IzZ{rg*ioE)%!Q8ited8^KQPnqd8puoaUGO zC?P5-Xn||+Yd)iB5}*QF)c22?w~`a|5sF$cbh)KjT@tmx?Pxm}^zlX)cfJi=v6%fo zzm5O!CoFisWNL$q@pYxH^sc=~3G=mpiQLY7D*)PhsIFdnBflTK zBb*M2@9TX3Vg}tG5~tl*u_2ac_wSs?Ut3gv*CN!_T>6h_*HnB{RfHjElKFbhI$3T{ z^O{CvN!ej`&KktFFP5&lXO{fwHuxKjpV^{h9mOzpFb3oGLoAfZm4~G)%ackX`(Q5v zyKpPdmk%J=Fev=~!R63Zjul)~f$r!+mr+16f*dSke)XgPn!Ch1r1oCQ9-(86_(aZ( ziL4!O)eW#kPQFC6FDyQu;f+rAgL^0(8>S1uYfbW}3&|W4evk3#{20DI*vr@2dYUo< z%IEWfa%<+GFGap^LqYsK=Ky&CEtTvAW!7MBS}iXdtz(3``{pccx$bS-4e8K@6y4+_ z=bQ-eWw!=NOr^jE*|4m-nfGTUrt&VpGc0L1&_^nUZTiD~hpSToa+(EWem;H3{oH>haH)jIMJ_@A@X!Nr3fJ7vdJxK;c8zpPiyRhuyT4 zl3gS1+|&SFFTF>zUCD-p)#2$aF1GlDtdv3M*nhWOXK~q7F}o{8IG*dSW*$a{O?S+9 zgIb^Mcgw`9Om9luDqkDoW`EArK28g12j~qRsUClQv3g(ezXeSZ0wkLM&FtjTeF`j1 zC;e_enuwbOq<*H5krjg|KgKcw1cGOj!@4c3RyXf}+PN43+{+IGGGdiU>8F2yj69=- zYG^{x!jkDgq3`&^6!tq_dY>UWKj0QqI}PI%yfZ0dM{v!0{;VH+51Dais$NLg5pp7u z9^XzSY`2CP_3<63L1C@W)}VKcNo&hj>lx0ScFiUECp2%A83k5zAxRTLpLp*cuk$>j zt1H4N?@l+KEGs98C=1KqwrQfbmzf7EsEXxDu8B@`Xg@v-!Q&7S)zW2c4W|>TZ!CV? z%WL@a)-=g1NGF26j%+<#u}Drv?N-gU8?vU07v0tuhM|8>wWo`pS*K-RP zQRc*TyvuU;5C(z;O@-8zDWiW0l;@JcFk-$ZYl=j}s?x>zJLX!!$0Njybk`=Wt2D z%gp&6y6W}Vm(FLKS~LIZzs;#m9;;o>9^4>n>OY*=BDc#J%=C$KKEYr8ygDP;i_P{S z7C&8i03)zvv7x&QmEZ5wfUcv&p$?o8M4G<|i zG`ha48rQZ*t*-xC&+s_K*9R{|HgN~z-F@uJ=Z>J1p8~(Gu2HoA z|KP(uHv7MhpxvKuq(_cSorSaw86RNrXSFM0lanr$)(?@4Ntlv+W6CI3TX7?uG9%&X zoW@euyijU|kx%aw`dxH&w8S!anYSbWMjnA(snaJ{I0F8%Cg} z25yzSVHIc2wlgR+p;!?ey51bWE2&n*J}xCz>%T%@H#leSHeGGqvgj6>J3@uJ6Yqa7{l>!dKk7FBnsbsg^#Q< z%uz?Uxx0w$(V3j{$OXI&721~<+LGLXy=(Un{jcw5Qt{qZ|AaQjWYRCQk!6(covp=* zAIV%4o#*dhvv2=@#&}T z`dhAS$eOKIqx;>gpH&r$|Isaz#O3%ophg{=CUNH9BWArEDz85&XG^botYJNW$xRQy zV0Gv06VhbrM%Z}ppxtOxlZ{Veg z?3v{9)wrvl_ZDTeVLqzoXH4}UViuB>U^_<2)9j_SI~y^zx?B4g3f{bs?fP(=@~%`V zZ(8um+46BMvm$~a#L4$`;J#hU_=`x;MpOnS7|=sgcRk@b*6gc9ChbtlYLpCP|JJU) z^~T_D<>1%XF!fzJM#&^`vRG32<&G{uyv4l^kOpjjL|G&(XG{C-BAX`tM%)cj(SHe6y>KBx$G^-M6S?R=Pg8RTJ@cM=9+9?djV%^+qRZH+`*ocE%Mg!NkBrLVG zZFqOEUV5K%<8Ft~mfEg;dgWCY7Uu~;eNO5!PLmFjpXcHM`sBb}N}G+`$~ z(uk9TJnB6$oWxc zphpd!SH{X5AMKrWh)kVz0w*@GdpJ3)gy>xl>L&CUZ<{$>bXb%_DseKu+$dg*Nv?@E zMR6Q|OsYD4hz~U;*+>=R%vijHEi;;W6?>bsjQG}~!T{%a_vE6Qh}*H$j>(+#mHQxt z9q+}}^c^mi$u7CSBk8)}psln0uaw2&u_DiI`_XPc6(r?ODzxk@!g=tg_Z{BrZUU6( z4e2G5L{aAG9X_!LKZl!%jnp7j(tw>{@>AGGhJo$u9#vTO^TC^9T6pf6u#WmZRav?$ zp|Zp>aYB)&Y?*V8uX?UxL%ReWTlb1V5q;q#>)t@rxQJ5f_uVWAWX=it^;_M_Acy$_ zj-#`UL1`B`qJjaXK^k1eHL7{JLK$ddA^Nn&`L*^QBGiutkwG>++%%JF-& zJ;V&DGToSC3^$Vt^~$Q$7{j#uLLDze(1oRo;H`!8_I5HJBbt8w+yl5@T}cOn~sxVwt^x5Z=5 z8_9$?lGv{u-vt}tlO`fJKpa1_Om14S^0-?0e#N}=g3b5;S55t++@)mNspS7RyRVq{ zUa%25X(Dk0K<73P56F_vp4H0aE)^@Es+IrWrH@g@IQ{Qon?C6+r-tar{%17^+Fv?E zO?GwknhWgy3^faXd*JIobGk-&lJ>1AV>ci|*h_rfNyO)!`EbUf2rlYTI^-eQmghhVm|Qxcg7j#)7ovbaKL2DVSj@Xd(e3Oqn&{f z6^3|pOOKxfO&~?ZwD)AQDi>vyb5M`wBLyXc9kmLXPJY7>?0Z(EsB?C8Vp-SPA5lvK zdQV6i;VAEsJ=uv(*h|3)$F&+p*!7hKyg=$OJ4ES`hB*dPWA<#f(h*dfvN|l0o=TU8 zpn$t2l5LAkL0oAbw^A7TcnUum2PsXs?M{<`?EE?#{ThlBip1}lHqBX>B~l2^Vn9xH zN|?fI^V}a!Es~Ge<^GLkg}0;2nAB|H%-Z!p8<1jX0Tgrq`vkoU z1qAxPXT>?0w`<)YbTUO!H@RF5fBaBn3DiKU(QKLS2?>LYd@kL$I5Au>K>c93M2hC0YPzd+ck{=rUq8)G+?X46LUdx45Ty5#=Q}1gLp=2K_N3 zqk9Q(ErA;xf=wAJ-3@!Q*R|(Eb?&i{LOtZ z2vLFYV($AB{odz<4(=r^)^N~yb;gLN+F}W_PK-gtCKO~)-8?12taDl-2;R%O)fig# zDbZ>PRUyfNO5^R1u8}I@i zZG)O}UqNuu#-N=~gv=aTpKy+bR_S0F%G*}EE|1*qf+Q>%&KG(au)ahQM*FLG>lIZN zwla=_FRc6!(6~jcof53*c46u%na3mf04?2A1=mKLHBxK7A-+s(6IJ6C7^(Ss8mhfd zmM^PeKG`}zr8_V3l`A~*i%$csR(b&S=;SoN=w~ZMsB+n{-GPG3Due8zf{)O$o{JNL zSdo6>ZTR2<^Bi?6f)&oOKi$Bf$Zbw=B2egv>=+Fub-3A)*U(45Uv4~JFrOf2ip;OQ z+;ya5Hb#YPncMA~_oLgZIKoz%ay}0}$=8(0*#p`oZ`&}L+O#N!BvaZVxZYz(!RVW= zh}GwBX$IBkNeSPWTAWXYvMFG&dH=P9Se18&kzP%VKoAe1_qq_?AYdJg?u&z5NHq^J6SFrpQ@aPMeN>>>{D}Whi z*Ec>?_SE;b5=%ykN0M*eP%z6fK?z}{@T$!{S3ulk#L;CkiDoD!apAZI6lWBw_Wt{= z!X{3kG1C>eR>QB%IVcX47Lv`PjqUE4-6Qu^(o1Yb7Crs$>OSyp?sIo4@FMoyV0;%6 zE`4um&b);(?7%Fav7Ml%buJHQ2haps*Hr2|L`15XH2VVy1vbr%q$-mXItv;P`ro!6 z-5sf$2X-V!hx~)JBt!uRA39zd>R=61;+Jx70c~6x3it+vz>y(XwX`8CL%ClK#hqBSjMLDe=lbkB z1na^T9P0_>)~=ul(DCVp)!9y%3GJnv)${>c|8OduD}pasr_im`SYrYY_Z!Xz&hI|j zAVxPS=k~JwKz^jtltQCNtBI$hP+Y9Cn;-H?h56UU1cUgmqY1|-B_H> zznX~c=%v~O`2Pl&q&Oz| zbYu5AaBIIiC)YD;*&Dz>I~rd44B3vb%2^7=a7nppC*p+yv(QfMluO_cbT=^3QkkuK%+|f zEz{&UViIo=SbkiO4ER0@f$C<4zwPA66s-Nf?k4Y*^+7P(98i&6?c??y6n@gq3}I5=B-c4wEO*|1;#6_BON zV*#}W9buqrDw}C#^+rnlz}q=7DUB6#ar#jkaG8r7qcdfj#{WXx2c^w#HgS@t@caKZ zmT*(K%y0f9ZxhN~!mN6-VdnisV%2UZvC~+?nYc#WK0Xy*ZVhOZN$5e#h0m0uJ;dK2 zsU;LML~Ith>`Qp6dgXp+CKkOdvDX42D4ORa2+%iJIzlqucd4!WiVl81%@M%vC*K@J z;wWr*gX5K0Bm*n6LLoWJ!K+oW&}vvPoHtRbgphTbY!Q3I?AbU9cm^~kL`BCC-J|$s zP^a`yVj=&=+2+~0O|x1iA}{bk{ArN_KMtO>WMdZ)@5`_|P}VRfI;fDkYG__MvU?_Z zwC@SS(B=R+clw;adRoG;foHQRu#b&nsCMaHPwf3vl2x_#$HwOifQLL9uRnd(e$^u|je*Eu*HzPe2QPw-%rfE+DfL zgeFhzYMAB%TT--A#8W`atO$Vaazn^2&zPl)^am9kU;i7a3r$jfsgHOiP%q5)NcBww z^menzQqFQ)N%JROrz*FTn48}LC1LTuFv0xta9GcaIb@W6&9NArPlFy|iY5M5TK$u+ z6FjR{yOMcdesday9JO2Vjc%HOu+6qNYA*M@5q85rRO%ducAdfO+F8lJ>(6pF1__Y0 zmzv0eQ(>VlJuMJ1YHNb-(tlYfQT@;jA<|AG+ZnSRZk~xdEhoL7k3YpqiqgTM+!^b* zwfSG$&K&Lk*@Z#}bT!~1>MU(4Gb$ulTWr2JHNZq_6*n zgfI6*a{9|bZ^k;g_UzFm;N`h`c-lRJskNhkxF_JgnZSKS6L@*89{zph)c@z9aElq5 zv$2yWi~t7Zq~zBac39EL=7p@?A*ST|>?Q^b6OFp5`w!`f$-ir^oZ_KrjX$7Q);&k^OKp=x))pS-8K@2B0DYb_+7!V*7IjPtb~xYn(9pL1S! zerkqPPcd+uhD*vGa6ktHX|nMUlzc)t8F8kn`-_UMEfU`_4Ql#BQt;cmeG=&GD?+Nj z$_7Mjmxke^)(L!KITZ9MciEo#nI62@1SpO_ol{^4)W?5I^+mu@ndxXZvt90Zw$xb?mYKG@2zRUEPF2MoYbkciAgE!Q=dIlw9MNu9 zIlMQsFt(LMG(FKl$z9$Y10+;M)Yg~XzO?XL?By=E=E6VwFLfQfO4lmP^^h_m2{1}TjHfq@yc|t3zXMgsb z-%Kw+k#ERO{gE%f188JKiSzL1a%dmT3Gdj;AdNI6-xTug!!L z63%UQ3|m4t`5Jp^ar<-u;wBV^svqkkGV#r^t^*m6sFj_M<1VeY#AT04d*PJq-IsK* z?9W?Kq<|7q|3(qJcIXo zA9dp6zVnbmeC5+H%T8ZOwchAa6OfNe{L&=a=$JYkV8Gb4md zK!4q)z$BkB`oFZIEtz6sxfL10s$37S618A5I*i)GI0dkVRGB^=4XY5*uaW-*`_=Ny zEvyIMzKQu^a{K3Ck1jFYnzbOCGw-Y9+C?^`O_a5Ov0p}(g8l7eprUomS| z=_c=~*Nf$Ohdb!37Md@SR#eoyw>H#>tS2{|by0al-=z*dIBuw2`SBWgrn+a%q0=#} z-38Ve`u=4@BBut{3+g>)ZuoApud?ykvSN711uK<@7j%~Q6SCr>>(!EOfv&MCev|hv zJ{{-v)K}f^r_7OyY=dMC=r7@QSB9baQ+du44B$eIJP+t+UFoNx z$;o;rHAFh|7xRy;v;An27r7^Y^`tYsBzX))Ph7m!_TP*!`F4 z?CmP~bqP@t4I0vX5ZZpMPi9#t%`e;Px`b5faqFn5N)2`w-c3rOFBS zu^|%FmK^?o`S2w!c=6h_?-I_O$mhg&bxMa*jg*h#DU*adB9)}^hb9uIwJKDMMQ7KP z(^1BJlg_-~vzp{fqVTj!H~+!dpeK#jXg`RJWi(Fc+}K`19 zVId0*QC_48vnoZchX4NKxWpPM_%-|LBQfZXUUE57D~r^m3gEd1l^u98r@X}cC?}cq zLZjdoo$z%LVslxz2Uz-|mPm}$BtY(|DRXrme5>NYYV^;@HAGvha@FCnBg}Mj514=7 z4rZGKk}YaR_s7A=Q{khw!!BE(tyP-~zSDc#Sv>N_^bO8U&&7(1X2G#JOV^^Dp^9N@N0;xOuK+9lS4( zAtherca_|YJ!BGvrS$K)%aMjxIrLK65QgsSBgd=4eCt>Qg%(sXo6Z6zXG@QwwFIEk zL7-SLzT;0SUQmp|{+^|2t3yxbO6`15J!r$1vtI8@&3)8l&m_w$5wm!V-mFPUmE$-> z;V#7XRi-pVyHcwt+bkA z9JIC1gU*RsGYqmiYax@G7;-QXn#P}dSYgInD)-M5a)0F@r@>-cHEs2pSh3%+qlwbQB}Hu7un> zcV&Cyb#l%0Lnc<3$$ie!u$KRVAQG(;$!Od=DO~E7X|fKbZ7Bkk`DtvAsB9@>m62%p zBh3%_+@s4moz8H8K=2Clmhf=>`hW##@d|TX_E(8BmD5?;*|dZq3JLaby`C!aV7;Ct z;G0=1T{7O`;`Tz^tu0LCjjeO%e_q@%Azs{j5F|IY)^_}RiwGF|izRt_zVS}dz5-b~ znB)xyzNmL`|6+$X#`*9wI*Dj`T|U9)_7^cZ{)&bu-`f9qantbOv!;-=Itb5?h?%6UenU6?2E0zw$ZoD5N{g?78L z^y|J(Hi~o5i@SYK!cZwB=I%YKdwtW#*fHwydd26^z~PU_OUz@?-=EQsb$VwL6W`Pf zQ82E-T?FF)U2mZ_>~?Qw#euu);D>@zt|hMxdFNsVi2SBJ@hoX@%gcX-t>D!sS45&; zat;Cpl|_c4-1)iFTC?GY0PzXG!tj87kD`9ayd>apzIOai+VWR4O1RQz5=v=EIx$fP ze5rUfPJxFzJVYU(E2S@*T*|_78MyA-yN)YY>aL!qp2oPlj+_2@35D!(om*xc^MTUT zp}ClH8HMBRr$Uaj`yZP}WV(4yDWz;mY4XKk!vDAN;xaO4LNzfb*G<&m z>hHLj$80(|C-+uPr!f2}-RKGv+2E=Vj6J`Uwta)8B?g=EMH2K5+VnqhG|{2z@|&Z4 z)iS0X%p-}K9LggR2}ckT64Zzg?C!76?3?|28#^;iNfzorGI@{g?Nt#=%2$^s@SmU*GA)N$F z8$#G2T~X+DhT-0s!I)1Af>@A|~X~-xO2hNm3qjk7q zvXKxg{h_fJTrYH+&%xUmisfKhdpey)p>mVHAZp8zaQsh3{AdFGDx`-9dbXbkiqcQG zbhy_}-yV_oKW@dG8sqVq13qlGQwR{LQQ7`_Akjc?sm&~;#{P#3wl(gl%f{Wk+1M~wg?m&wh)nuu8&ni$TTmX7rSV|!H0M?7Vu8B+pe@UondAP&-o`4^iN%)ANW*xE#Az)&qtTrGi7$F&rz{B_jlYn$p!Mc$=~NrNI{H@2#8<8@t0E& z+L27Aw3vffJAQ_{_CNr%AS{#BH&=S$|Ne6#TtqKmGCmXagRG@ga1UD$mWjpE4AL=9 zIrf}WQ<3RQ8igj9v4L?>*8(M0MLeSaL#Vi+D1})&$QxYp6{nu`CxM{Wb-&%VjvsSSoc)t)0 z{V8!vpW+Yv+|Hdz1ZHX$@aD#onesx+}q~$gsq=#)@c# zBG6Dsv@@7qBLCDktU^U)LJ1Q^3FKDr1=|_QdE9!?yg1dgpGpO-PHk~R7RW8$i&;q| z8};eU8`WS-^-a0I*7c(XmSETvXUNi8#cauiJZ)r|Te-vWC#<({cJ(P8_K?d%_w5uQ zw#6b=bzk>1FB2ajvDqgeuJ7T>dM_Dub%Kt}VN;F&5^bCDgoGmh$t4$E{oN8+)3=d| z`0K1!7w?DQ%qtb|Cq%7MeR87Bzb%`A$d&#a zkj2dE763_WM$tYig+RZbvGdv_dZ^}kCwg|AeRF3TcCv8?_?mjE`fAms?&ciVArdWN zaDlOC?KeFZz4peO=45&|_fq}>+w9UCt(a`CL!9l7Y(}{(!T)>(_>?HgdWhQ|8s`u9 zyYEF$f%ycGHZY<-ekRL&2sF=zeW-s-^lUfy)SIIrGkD`l70M#XyDUiRvIE3SwJ$_D z{$BIM{QgEj0V~R**QVo1SiPx~Z0A0+WIND}S%LIL3}wYJ8JBc6uL5blH`;?!yYk9c zB@^gp{7pd5+7fZ)1Z5s!J?ES@kjV;DBSmEqv(Fyk%GU?h9|DCt%W0r<9;1_nHT*Qt1bTmtN7NZY+f)F@OG4hL86#?_ug2R9^l` z*Y4cKi-RB39_-OwWzJb2M6RH>qG-`hPj%pWC3?G=Dai@L%A6u0Th%@n-mlULK7glx zgoYZ0@e9kx72qOH^!nRrn^ zxEdO?{4;U0%>&;8{sgIpQ1X|%eCUGH{Lf|6BTP@61Qas2A99bADdLAw?hTx51;`I@ zvgv;Qw{K7@YY=2o-wl8?s={E1)!38|SIYdpr;%?dW$MOQbIpqf_}l+R1L6J~!7KkOb`acA$U@8r=W-?b!!AbI@CZQwQTmJ_ zTuk2Qe4a&C4b8$MXJ+v>wR995Q% zH}~!Vw!Hymj*j>Eu4eTkhXcovQXKr!rV5PYt^8%WKA16J3{~}VG^ggya*}x}RWQ_z z;Y-2L1Fq8d;)cjeyH9ezT~ z0S1PEYx$oKIE#57ZX|t0Zt45^dGYc6d&k3;MQNov=98s7Bm!nBM2MHVs%8d_R4<-M z+CrZb5w#pjnF^9~i7HS~F)^!;S0ZYvpMXYIX*-)VRN$0NNIsBEAZqCQz+MHYJd7w= zZfk-L!o+mp5ZBRg0j9@Bt*0}K4GdR7&!bG0l>!c_un|-~%X*SXlgvQlHrAGWR)rXF zr7J-TT~!S}ZVxu6gHq2yT3qk1aqH`of5*4}4=>=`Sw4sM6MDhs5E~1gLOx`yVuj<( zNbc#-oY7VY#1NzRJ1PgS;=3QXo~=NcdwF12s6KJNR-hRoXXS5T*g8hzI4+JHuRavi zFEmw%(mw%7Xw0G!VfDsPUXbI|#iJ}-NWII$a=|K&1NB#sYiLoh%TF+K<(<0$`um)s z;EXd0_PPlcN$}g5DeuKx9Ua*Cyo#G;RTw`8vsHz(QTPGTL!WQB)Dj}0DQlGlOL=)3 zq6$e9mPQSWn2K1cANR^xVP^ozZID-VU>0Jb*a%sh^GMS}v{-G-=>Eq1`Dw9e=>{G6 zZ)o4lJi2dOW)bHwJ^nAdQbx?*(7QPj7+lDdgzehJiy6*6WvNN>o1~0N=tO^QfV$JJ5B3p{>gy6m+%cU-YJ9E9)a4 zXuQEOdN1l*zq`A}*`Ar#ffBvxIij#CY2jdYb}n4t1?h&}K6 z&%`?ATNA{@o)CFNsGqv*ydPz-5XQw322P+gR`(h4 z7)#>Z5s}(umhvgZEg(YyQY2+fE-kadSl|^nVt31n&F;YH77EefrM`u4F%W`u;kmLumT6PIh-dmVEnuDe48;t(pM2i@U&I=jvX-LU!3Oa1neM zxVnLjQkUBs*cIIkZCqJSY|Wa`6ZHb6S_`2!hXpMJ<(U?PSvMoZQV3X(8p}8PmB5)b1(FomV|g5v zVd?fgjlI_`@7)^vV=>86>!pXakt2l>Vmbj;+yswpZ%9n4!^xz3wNZaAoSV*QWl;~c zEsyqV=R&e8D~Y_ocKP;s%Gl&DFwpz(KQTYJgpFOE_Zz6Nu4^G0l0l`ImF4~$$S1lJ zkP3Sre7Bvxa`O#wK~C^JW0G5mH+h}JO0*yGx`vv!&=tv@#-SJV*pEQCS0ghh%>Ulp zNN>%c*1`ywxK?E%6?2^X{ZV2lAe5Ad?4)Pwyut(PfpIvQkR#zdIL?(SxakwnvM@;~ zeb8?2K|p8#1lKRYmTe7>nWuPBuxz0rs$j)D3Q7>ucna-Z;8q55N~I$Ge~7o0t~k@eBGzjb_==9yn%A%<$VyX&ngL-$0`ax-d1?!Bh@k%ZgC9#Pyc^1C#k84)1lMrL>Kh~{Sh+g)~`kUe6 zMae%;PR2;Om%ekrM%8mHO_3eTzEn1+^ND(pKGJUj^}*lTF2*dMi6C0=Vc7a1&pP)R z86oQ+e(1Gfb~?N$t9dK(EuQr6(gc%8IlLV0?9=3scsN9zKpxXHlT}O)w!?U7JnNFkN166Lii*a7qNO&nfh#Wxs4YIczpQud%u6p54b%!{q%fa zH2Qd%T-Tva({{cl)zSgWRF>SReVTc`t368`5BkbI#{;*zKa4)@dv?cxA8#-7t&SkS z+jGK~T_dCSm(A8s<cnWPMz4h~ydqt)9?H_Hg!v16BjEacM@xB!h^uBZ7nV$dg{I2lQ*5&>D z?-Y1c{P}Sc@a66G=3yGt6Y%+xt;3rCwgY4h@O{0QHtG&|4!_*;ef`*<4)_>G9lkBL zFbuf83IFuQuYJ5h%@25C?R^>T?T$Hk9Zq+Q=#h=?)@Od$iT8gSH~PFur<-RL{``qG zbW14m{6=f^cF=0{wzrQf@_EC1tE1Wf2czFz)|8^7I3hI77 zK(akU4cIfh>NV17!dG!u+Mtg z^L}f6ln(rOxe0Im%>MYy{(QNTIZh2oHS|OKT8#WeKSzMVbU@(>ps+Pi_~`cW!uygG ze25r=PWO)YNuL5<`fj6JjJEaGS+7>laq0RW_B|FtUOEFj z-##pRH|OqD=NdeK zUDWb3ARzPx7x*@OZ;SCU40;T^IsIJcU0|)ii39z52VeR~yxuK>{I869-{pnoL`q4R z0;VbZcM>0XqaP2lFMIqyA2#n(hBclq{TQ|@&0-uGuT}i-SM_6UXiSFQU%=Bw?;i2^ zueZG5M5BPWYMoy1_mAR$0+9dRE$^pn@0&rvXH5FX2PLV&?bD$Z%PzHHFICse=%;`0 z)=i_49}mex_sjDy>%zKlUJOc=8aZ(SiFHru#B=;QrpYC(f)~|1G@lx;u6`+zgSq<) zDgJjAVI$(wx&_1huO}Vwa=S0AZPez;Ozp+1#DD(_4>i2ITZEC+?Z$O+wQcE`PSl_k>ghPmY8?_kY*x7hJ{@hmGx}J!68Yp70R(++$;^9lRmzCG z|NGpQ(VGOlT9d>d=w)M*cD!$YZ&?SCtoQtLh_kvn=b-oMet zYWwaPt{getZh*Z$y{ONigZLv4!6QbcgXglN?31IiEp*GsILm1J|B}vU?=^kz=ktBN zeZPN<%aC4&yF^VrU3v3&zbv!Jgy1zSm1wL-q-nj zxnJg(yX_?jv z3D*C>MQ#L7-v-C_+k>aXp&|3=PqjwNpCv1{;D#}O(=z>DS#KGVL!}<>j9sjsV;*(0 z8L6<0VgPzD^C|(NmN=>Sb3zmLf34&Dw)ol|+x&|cqC|AK|MUMhJ|D<{4 zPnv3cPO9*z`|ex3aI&{qCT-<<4|)K~4~Z5!4;}`Wtf~eyY4GLD%2X@uY<1ABXON_m z=k#1WP^oLqv%(46x2m-tl>W=QjIG!IyM8(uAgEuIFR^;d^kb2=-O!A&>Eh-YK)*2S z*xghu$ifgA>K(5Ae43fka*;SD((^TZ=r6k2N-im(id|ZsSHrUz>Ax>+jr{X(UCW|J z_Qa8kEbg^n@zRxpS94dI)R6!exK{pn=&0_yPe+)a)Xz$uTh2R;t|5+K`_TZ^g@?oS zF3N9{E$ekHxQU>v=R+gqNY0sbQxZ%D?X`Pwb4Q1})?m4R6e3l)|K0PKs{}yhB0y57 zrYFYkeCqnFnDy(uC@r8OIQp%SRiSpmEp0>ZsJT(zGqbO12Kk{iGEDPPf*Zr1C);0%vZ9z zh->j3J#!)7?k@oUFSu&wk$OZR58;$EimCtA(N|_tj+7;KR;12)hm2*>R)lg-yG83n z&riED-l|0CUBib2#SaXIKXrNF-S;T%7Z%A{}z35 z6!bb_MZV!d3IaBakzkT|C341c55bv`=JlzPIihWY3jKmMCd{TVJ%!|xr06Rm)d zo_qZ;;k^#>9NMsYN8PgWf*JY7JSc+UPjjGPl*}peDf@(fnltmco%`_+C-sJ!3OPlC ziQgwO0&x<*KNw^rao;tP^xqpLBB`((*2Z6FHcAZGfb#HWh50`V;N>Lo0r2`8c->)g zH^&fG$yu1P793DOG{_n2s{Yo7zNMYps{p^F=6)0Jh!pR5D^QTzDxo=O5pBd#xVh#v-3KbIUP17-_j6q^y z{rS{#^~A#}a|g0|*MRpEi4J{@g88GmhDTZgm=B1(d{gYeprwncyr}=eZ<6?Cp|+rv zz9OMi^o8I!uOWp>>Qwhe5OA!h9i`&X*C+M0U|&(h8hWM1me7)OA(>SKrzjJjhc0Ln zrWe2oS#wHOj!e%yI_phi!P`V+S9pN3*JYi=gV0{;7|kH~i3(~O5?$5JA{7xz7K^AC za0oDy&=pvtRdG|&GF90XaFgsR-iR5ZH9(PE_TNM#YLzJheaq)bFf;ZPIsPPwi`=f8 z(l=!xlJ9wWOV4G>Z$(r`YcBFPNx^?8Q^Zz0=C(ysZ6Yls{Z>$&!L*=tNM!Mor!FRi zFT6PKw>Mw1Li5slcse8NE@I14RST^VoHRfTXLtEQ`~ee56t&WT{9xj4`rLl&aQX{5rkS9sn&IFX>y)_STDkL;}q=Q zDl=3S*~S+piY5hbJ*-1i1rQ3;?7Bt;s;pCQuo@fC|=6=uif(TOMI6f2xh?8#?2 z)-n=C3J>+23zwshYL|<>I^<-lSe8BbnOmaUlqY$5C8Uy5w!2;HR$k4~n}uLAkNQ^AeUK_r5SGuQ*SDJ77Z&t>J)(TbZs= z3YvMa;boq$yl^ta97aonW|g8T{oWE|?aFL)$-{ciW7y?49BT6$MX0}`wx4+E@6DoF zxZhj2P(Xo_{6n(onVS7voVD*f*HRVLHke(}2J4($z^)qj7Xhjv_4Am9_Q30tm=`{R z5(;&WNF~`-IqalZ95mhh!gR!GdgU6~~DjM25Vu|5{ z3C7cej_ZT3jpj8gl1K!C2Wd*qO1{l9s#}--5tQHesNVDyLm#8AJ{uR7@fNw4%a~4pV_{U6PY6Bm$g+iYHS-u{fGh(qe2Y_`r@@r}V|!vv zHlBxDMzPdE1z0m;+tSFw!c$+C+G{bPuXKQYsJ{Bh7ORjmrzfKmKQA}Ymb^hNM82iMY z%6Gb5w8QW!e$TUvWw+E#*5tt8R`6|hIz|2*M{~7oFf^57>?f1ick5fxz@d`U69Fz+ zU77NF+}y6%2M<7W!1S8Hd;YThrqBDk0q_x#MSYX%krI(YB>Jz~RpYrY*pb-liX9&D zIWLU=feTPqP<*WM-;8v&9D!?%Yd$lZpdthyAx$fAtsJXdObJW|jYPssa-s#9y$8{} zXctT+S{!b)&-w@hN3YKk9v@iN0C!V`slPb<6bL_JWi{z^rD8YJeE=ixtUal4?LMhs z<33q=ex5ZSWUO&=1!&F_XF1i2Q;_%ifvK#u`ocV zZaz3OF!;_kC6Gp`ymXO{JK21JYZuV(yKc=(3o~Gw+LG2Pg#rC6Xn&_)quvrl$wWg1 zFT;8p-ZMyRoJwPxT){JCxSFXsQ#Z|$60%ccJ@+Y~4 zniG$(=x{ZHvlXL=L@Uxt+k=mxMVg|v_^=Uz~b%>Se|84;ce4 zK=|^O@!h~5Hz&vVJVv{-87tdeOsAaUl%fX=JS&2DGBH6EPDE0p|0L2No4#gPrWgO= z8y{S=8FqxRCJ~D`+&8a_uqT*bH!-R`xt5Dua3&XBXA)8w^ zhjNo|8G9Ew{6ndiIjMxvj@SR~Qz_kSNkt^QeI9W0sNxG)wJB#B-Lfec@7uDWyZV(O z;xM=m8?phPM4O_zmlX)zb+MGm3( zyGIp8=&Fc=60`V46Ix3=dv`73Jy?RBKes=Z%_ojBBplW zuM|DgZz%3@6~xKhb6dqZguyo9%$dp_HyUx-V~b!ZMFO%MZQR)`HiI-S}eOjlUMW z|0nkGX{7G(<{29UvOI+ZczNK@OHT2pTTroh2F`xKpFFLnoN2)wEa3ycpYu#41fPkt zX^j?7Ial9D_3|`3qhnxKkE(=K`igv0O6Z z2BSs2_a>YAeSGpz6Ygn)4LqppX4lGIViO_u$UFUr5q3i>4pp*r!f6;I#Peow=`5Zz z)rP82LF|daejxAyGxWjPDQTMHqdLh0YV&pBt$JZ{f;Q9sbX~Vx<@)-KO1ZOrLf73hp)y5Z z(?fFJ(*!WC(ys98@{DQl-7GKCh3H`nj1X%IG5enL`>4{={qs*=qTElsFo+? z3ac?Uxl=^6P$l7`QvF`usd6!NI2N~=Hs%$lX#3SA``k5O8(k!ZzqTdh4lc)jQpgF;%Ntctf<5UVR_iDqk3%gF6b4pc|xz^FpCR`1FX zau1eakWhr1tBC*DpZ7qctOhi#CWtN?*W|DEk9O1Xma}2Q+wwtmiTc9aS3*+$u%m0` zv((5tOQe750F_QCBT|~%+koHw!}K?ww-O^RMX?1a-3jhg!Rqu%OYD^~TbOR_ zTl>i))Mu60-B@(Z9wBfClo}x|;&oioUHgTnPoLjC(P*U;Qq)LVq|_h_8nw9ICZj=) z^p9}bE+BEvkv+dNilYK`gifq&o%}m7+ zRmIg2i&FkcA+k1gt&$Q`*7I?aXRnYFb7-%St~k4L@o^$GH}Y}5ybP386pKc)FaaLR zNC(L^b=WV}G=2SuHOm6UDp0uO3D_NRiYh)kW)@Yb;ja``q}+2>r0dW@oB#ahcRD`) zk+VXM6?T`-v`DDJdKV^{L+IqI;qJw0>>v4#vU0P`#inqIXHo9el90RRkh_4H&hEpX zaafV_Y4cVs#kOYT$I>BBAA{2G)Pfi0i9hF%T{i=O2skHQ3Cu2mRa*>r#~p7V2g%59 zEK+Tb5_|WRBW-eaQ|obf#{k#Ygyg*QN4f_CC)ZcJxasvcPCbG4w~>%b-C#E5AVQ!J z`p{3OcC36fFk{p52V*A*gS%RF+p}R!gu&jy?rkYitcYbp3_VJijJj8;W=ZrhPpf7cW_CgL`cak zc5LGA1Whn<9;ht(>%#`>Fi=KjSXXJS?R&dF;x$z7NhR;goRnUHp@5aZoF zkh^udwS5@SHVhG&&GGDKh48LPR-4Lq-i#4Nw^;6RBras8*9anRxN$e83!cvN?RjfH z4hcH%M)EkvQdHf5<77&~3osMq)ogaLpXr&w;3hRz;!(2OO4DgsEAFh!rFSq9h!(-L zJc{t>zZy)25O9lj)AT5`dTwL*u)J>iX)SCkXPEtq?2ZxNbjW>q*w%TRn=3sP`SF2L z6^w8o7oMj+5_*m|oN#kZwPmYT9u*lD62d>v&W>6P~I~N%qJlWwH4M zq?O8bA{!KD{E@Ke$X2d*d=Q)tW1$uIkpj=kPcx6co;c7~Q?1pc{_=FtwnOf0spN|= zT;FWQslE(|GrFxBXL>vS9XprdVV5}97^_?OrO_;vv)(dd?mo7Kaxk0zqf<8H$t%pV zHp$9NlOFp`2{TKXko1H_xur1(=$-z=YPJYMGm*uAeJ_v4=BT{HLhIn8M~zIxm&?$2 z94-^_MH0IIEg5}7EjWO|&`6_?S)Wf~=G+fuI9ID&U2X-bapB%Hp$(k&pI{@|4^PM1X=?F3dgi|$NC3&nGVt~&JAF0MIMY^+YP{`Wa;<&8Q+Cm{ZQ-SkyT#q_rn?VrL+RCHtE|EYi+3$DFU z<7Myn;mjfb+d&mVKuiB$AM(mD0pSfN?lczwvlRVdd$+30e=miehnAe=+jKfyI@~6%4|Rn*uDK zinX?d{?ux&V&^$%<_%2iH^aYvZ_NpjU7IbuL-~1RQ?vF$y&!?}1hn?{Z(|JPgjGLB z*KxS?1rYy-qKsG)iy&JaZuVA180=vzupD*qm7J{F9}3Kjd1Vh33Xbsw_&DatECs*i zh8TUEvNHeQva@@^=WyLlSX*%)*6jP_3oxS>xCVdP{_>iO6co7r1g!hF@&r7}wTPZ= zMCb2%ecu za<)O|(Aq#b^LP(ZeXymVh>G~GkySrYcH9-YkG$$X#62LYS^t9pU3yS~R9%OCO*5Ly zHrR;6&O2reG6B>_l4qF&F09x!Z~T~_kN)MJ+R?^c6qLg!J27R0k2CVV!G4eAe5)w} zbx^t{a>thZ$zW{E2!flr`DB`?64aW9#4<*k(-NwC782E|n=r+t_6ebkNt)K7#amn* zY)mALAdrV%fK=FxAK00!YdbgJg%bx9OVpf)P6slkwCsP8cKTBXxHFF%$$^43&QR+TQmmf#d8c$Vm%w4-) ziU9UO&{}OdPoERfgqAW(pIs#*I1}RXbFX6!j<0sefdDC1DY ziq2(cl>>k}R0i;rc$cVw#*lB|E-(mTT)o0ZCx`2g}b!#|T-TZ)^lik`QseHWfE2=3{Sb)Uq``zEi-lB07?eD^N?7OBZ=+wmazhm3^ zqfVcZr9$|RKUb(cy-*v#ql|ZBjzVmX{f8!20nVbl-g5i!2R*W zEb;NY(oO_j`rs-(nj-whzuM0SxP>;vH~dR%n_sSrM}gB49Y!m#-yK(-#)PLfTJEnF zatBWvne2t$$4s;#Q7fN+`|a}3GM8cQE+mIm3(}QatdD$_JxI;g!}pHXJ3pabH1f@n zNeVDZ$Uh^Wv%c%%TBRRgP2_% zyfukZmDa z-tqGU6g4rvP9FDLSVsn zzEtoxDGxl`*1<$ij0_~QA4yE+DR8q;tNVYv$%GNHmzybE)UmHXWl&oKW|es`(G6|~ zN_osi0!Gb*9TSTwN|L@zgt#ONKW190 z5IW{8EaHq5P&nPE=8QUrV)A&GJ$jKlJcNKwOV#=5ATn@v65i826yg z`Zi_JM5e2XdQfjG`kRK7jnMa2>)*gbBN8?*gaCwHGTNU3p;axEkB&Xy;KqVBylfp6 z=}V4nbmzjuEjbKH0)M!uZ&^s%o%E(s#2$1E;j!#|SUMmpbn>8kR)k^?z=>59^#Rs; z3CObg97>7z&L?M!b)pJ~Nl}L$1M152h zR`ip!dp$=JSgYF@(0P2;wWj*8sUr`_iuPg<^+>;XvhiNxNdSyo8ib91w40hF>-MWL z=dIWvP|<(#OpAluDWA|*H#*+mUfM_uXRWEW`Yr~K#Mr?yQ*2B! zyBs=KtDWK{ww?oe7;DfJE5_r}8AjJ8Riw>W>SAZRQn#(g`T~SKCgsN0=O#HIuw$p- zm3O|GO-RkPH8Uc4Vm-5c`(E}tsDDFc4HJLF%dSg#E)OlQnx}opeQ@pY*mLLV!*&FS zzyFp0_V?jog5o%tyHa0g*)17@LY|iCkzdy(Lqo!PS2*Cf-1+xp6@reJFfdu6%Jq*mmrW7u2cS`1b_4 z8RDEbwvoDcNQ@eHK6R&895JQUQyt;yT3ts&VEiaZ%y$Q-OY~ISVsADonoS}>MTBKB zk)WC}Nm!CcgQEqBp@fSjLw#saxlRXEdmiDz7^rB`N2K4r3SYuQxTa=lcA!OtA2F!{ z2OB9}NCz!tLI_!nM&;*r2q=Uv3qh>oxWO+{f z(r>T!(Kvl@hRE_C@e9I(%~eT{^ZnypKm|HS$J$FjSO#Nd+PC=t_cf`9Grau64olt= zkubKL_q~t5aCtGQZ7ZsOAMGM~{XKGKN?4C%)FMzYk*Hl8+Vnp6J~Syj+lwx|BEUcF zZ?j?!pN<8-|87+rXiz?i#eeq%Is3z;!Q3lmY+KsoDodQYA^Yx~VBI}3rATVew>K}Lzf$IMmSKS!x z5^O9O5zUhHJ}SJ&IaTsL6y|BRq~OzhL1@hqI)6)oJzTbt;apNrU9HHpT?#F9t8yW% z4Rmpo>BacDrjDbJ4t%64Mcr6$)G6a8{zdu7M;XZ6jzKe3Dys16sR=9GToa=}#FYTSrFEf$A4x4sKBfvsKdRD54mZts$NKRXdfZ~#NI zeBX5QB8Mn%`(VDUBNE`;N`(kQJ*56Q7H%ToEEX<7bXu4O@6`G$2zM-VHb>*wY8AIb znwO4TxX$um4o!uzli?3~dkGc_pjdzwu79QR81nA zr1qHnG(BFb8w)+64QZcR10M>?4N1*uN$LvslqPlb=dqF9^MT?SfBg0Z+migU25o#9M4AYx+$+qU6a<78BTRJPk#|%SsprG5&-;HsCc8;scz5S@dt_ z)8K@x=vm?M<|bbbr4G{MYp8Vd*CytO3+nE3nq&tvlbxlhO14oP76JdLW4s^+RHU9N z_CnN?&$3;~Sfw2o8 zo!{Bbk30Os1`@?u_@|Q6c=$xgDbr}ya-A(0#g+B7d5fTFeZ8?n`*maG@9XuatYJ6% z+Z(mOhl)!F=Tq$fc(mS;*;Egl+G_iFk>t4O3RNjw^qT}Vhfg3>4RP+^Uu>TnTG!du zsaLJ-^p29hi@t^_Qn<(BZn`lhZ1IB|!lNr1k+=b{iL#AD?i@F8M+mHM^m%r0L{WJ_+fiqZ(8c>35qZwo zSXk)5va7#5uL2VF8Q+&8B>MKTgd|5K-J~O%b0dBTmU@N*mOQ%d{<{`J33<(r2Law> z2a1jYdN80d1)77LJ&AO-gZq4o$&Edc%NDb=#1vp~tuZnnnCJdIJu%WQ*PBipa)_ok9KjQV$onh1l+OwJlo5ApZAVHO&c^AB?a30# zOTNh?g=xx6<|;g$;&kl*ZH}lX?pFTka$)1b3Ll%Xc`>i&KEXPu*f_NWW*K`#7j6=I zMG{M5G0Dq6<~L}J!T+)WZc&}NLnE1HZ1M}@aIpp(X0$MSIU*Aj5F%%$(&*R+UA%K? ziw>OOyaL4ZM7z;D2IHZQp5{cf^o$`LFRQ-qT?DB>*+y$O~(?p*csUNL;BZQ*NXE=uTiz=Ar_r z_~)sjM&;pY_A# zh)&FaYEw_s=eSriW=-3h--EM{9ac@x?kH_Q+v`bjv0u_mbi7L{{j}$P8m}`bD-c;9 zNI>hrtiD3EpSvpU-)=@cr`ZTVL+M)nIC#Dl)8>)BE4A# z%6qYq+o@-o{xtkxw+zJ6Rges(qJd`gHtoM>R+q3)bRlZcd+%C+KUuclWU)oyB70gI zKLgHJOyV8wfViBR*%ly2p3O<4X6T(zE54&g*cID)dcoUsI4k{Go>lJr_}~tsM2b)G zNOBR9nBJOV**NdyMphorRf6JMl79bI;?A>yvBJX6o+hwms*&y>1) z?j&DuqGj0}-q%7&vxi%IxvBW$s&a3Z!E~+{;g5klxO2@q73G45dYzaA@TfCN@jR8R zb5?(X6A;TQGeX~`j?&hd4L)N=^V%9Dq43g+*lxL7rN|f_tfb#E^|#a*CV}W4zO3Do z2gzcbE|)t>(pE4{_cF#ed%o~JeAY%vJ7Oq!-UyNT3MPwT*c4uL;EbMXB!$crbYYxo z>+jpPUSz@|Z@dR$nGdJo{#7IH6^hWY0O64hRn!|u3qNftPJ=FOfhcTYxN>bSQQYwR zyi4GV!)GTnd+sN7TBAqx`17tbP<*#bA~p09C@!8fVYTMI)tQ3zdCz+4OBS`ky?rq8 zvRILnt%7#>exx_Dw7;LOGuX6ij1V_KJj~`ncC{v5o4UtsqEao0;0^<*VSL4o5hOye zn*fz>uK2#8%#xh}J~A5kv>YIfTEZAtt>i`nOVAAYjT;tC zz4uQy6W3?JL6@mf;7;UiHVlK&wxwnBt-~eqqe59n)Hkx5dKLW>9-4=yGcP{0kD^P6 zGS4+E()dYCGMl5PA$lL0 zz+MqpdBR98?crbj z)>XcsQ-XgMvp(?K1e57rY9*ZAjhQtz^Cel|tIBQ^D@ts>B!dNp;Mw~N+8v{Fq%>sE zNu1j9TO11=%)a0f_$v=R)afBxO&~KYTAxR<#R>hJH1fIieoT(Q$Jwi!v z=x}})Hvps3aw=)=%AQ%9?RdL7?(s4bhxo4hpT*OKt)_H>XzF66TXKm#+0{<;Abs>NsQ{zYot;w z!7|DeAv~eVdo8(H+<-}w(MR6bCUmYLcx?18a}0H}FiRbFwMDBK0n)-|&=4y_GY3TD z-|u9v!y;e2b%>QUpk#}KM_K^{ldiU zqH2U7ZC6M<{~ZHOrc0V=!UBr-5wuS#0!HFiSbirvd(H-`0`0kZSYw@FQCID5PM*`> zaJ{%)FxWPcPxW6jZ1AO{ugcG90r0&5%3NdcJ z0Vsc|D^!cc>%Mzys@ov0SV08!7}@r!2}7N7b<#2hIiQYjNxvO<)do?W9}Nf#wGT(u zYKGyG*h=gEOkQZBovQ&WH3kw`tZIW~rLNzF;z#KLTQa)O9$TdT;Luc-pm9@JMO{6R z0JV#-Fk0^SqCLpWFJi3ptp>Gr@V?&TWShHY?^84VLyXT@J|jmRkg^;5D@dm!e&PTH zWkU;34@5||7B<}Y&PGH(l2&ce4tW0~T|RsNK0tc@D=Ph`zaj&2{eMNga+fe z?2D)!-jIhqycq>;xKNIqpMa%vW@%y@Xa?5qC*+g_DDW+Th_-5$MO|3@hN+G=SW7pb zP(Gigf??oT+UH5!vQDMRvh#4Z>QKdw(EW%CoFw}M$cNJ<;3(~?@!X|u&~5`s6=VSE zY}~&(XnTl$Gm)uszmwBN8fdmLBK~vxRCn03fTP`9XN}I?FD>SzSsPZSuEDpg3cy=d zG*)S`5Vq{4$JN9*VI6#)Ea^L4w)8nMn$Y3X&!0r{5B+T}=8qd-Xsar%+3{~|*MndF zBvs{5bsw{P$_F*Nzt)I+iY5$NLfFFOYF-8cZHyIcG2CQ$KDPSIpwcS_@C?hb=f=@? z6j`AE)l^enr9or-Vr?U-UgluY0NrfvQzI6L{S#$k1YwXkSaYAf)P))+;{%ObCc})y z(d0r+p+?NbO)UGzwh#F)jCAJ+c`CW)1|+5scNxMpth+;4Dbd&wgx9|WyKL?h3CLC` z+EsNIUu06j*|;~1VQyO%cJp|;;mPBb7COTkO2si{ozCm){85Z4a0v#->@Sl8ifLG} zRNQ3kCHCDRu)|Ih^uzLK=rv-D79EumXP0TXV+=!B@8-La^f+RgGK3 zYX&e14lPq-zGs=&OgfKaett3WLSn-Y(kGfnrRz)40QEH|f~}EHq=%a67}^Y2Eh<4) z0^KYEKUDO*tO5Ex`AUhn8SnfL-jkW%DNH$A+@#TeeG&={`T8t7@4?0j$Y$zqI-u&Q zc3x#pE3JBL4H-I3#YX3y5IbA&akTWv{@hEfbcdD>U?ffIw+a3>ZHYP| zd+%LFK}f>@m>mN`bx!7+_jxs026v5x3!zyJn$voYv!*)r#AwTB(>^F=d4Hfl z2$Xq)`4&|YaTg06EC+!AO*y6bj3+?at%lr$h}!f)2HrfD_OJY0bRN2r0X6AG2VBdo zaqtB zb+fa}UmyMtvK;2=?KW)7j=JZ)esPT|DRBy})bC|X$Qob(qo@fa#{tUpC*{kZW4|OU z>3aG<8#U+cE1xR84Dlt=ns071c*~qBz2;n(eJMF!-F;Fgvj%p)4r_uoff>Hd{OaTWPv>hR28}0wn=$Aqn6_m!c z{o%H19hhW43#(1GYlPNJqYxMW+4b{WPBv+fxxo4)?H2H!dkg-C;-)s}KxJ~Jx&dpx zOgB%M#j#n6?5mekE3d1B?4q0D2-8`2Ypd0UwKUDIUXB;FE^Q8OLaRe}_e^^4hu~6bR>&lUl zyMCsK-m%Xf-Iwcj2glAEu$|b7+(`X*Cc`^#cWjliM|L>u}1hgc!f* zoI&FiI>1ouQ%st1b==VqkfpH@E~&~x!aZYQ;fNZ#;1zuTIY=(4+a4VoWfX`eRhfI5 zyMGl8r^HphbkSx$9s>LeRgheR^UauP2!0)iTo7do9%$Biuq5l$HUoT2C^I7OU{wx6 z3lbTXhHicr7ydC10iQWEk^N%!B3?Cv62%86bnE6Hu|(9+^pdS_6ioOmI=1l-S;f)4 zg+{J~o%2=g?ozAYdUEg1PfIQ2lL9f+oCb=y9CH+Ws$(%vK!Q81njsJKT!`S6#&0(BiX{ah*1M@_t zVVCHMfH~Pmf{J|n9j%rLIxWZq`V$#%5NR;00*F;KuZ19Sps6V+llgVn zvtrv8Xal2>&2bay7J0-Ctvkt&DK6`KC)@~eaZHzwtEvcc_oMb?9viRjlld@ zyK5@ZU|+8vKUOhYTODAffH)K}(v-DD(VrS()HFk*l_;LLGP%sSua#0fo|_)27?uoX zLYk~7KJ=%s#-8OExM;YvD$D2fYJCcB=tq%FJsdr6(?srE-~$B5u4>d-OeTaEE6Be% zw;Op}CbxP~0&Q+`Txr?uf`GAC4fW+bQ+Q>;*U41;o7@18o3&2;H+k}8QHSAh*ZH4E zQaRI}V>cA;rQ`yCk8^JI(XEsAMGl#oJJe%EnoP4c?DWPO0x7H2*Bi26`zQJ1@g>qR z!lL4zaW_6 zjSaM-{E8k+j;V^_QGa9#ynUaaVrx0plU6;Yw8{^TGhfu03Q7+#NfhU?2rg4vrRGuR z4~Mbee}NHmy|9*~|82=EEXOFp76;fKWLx@a)Ai~Z4c9=YL6N8#g{fAQI<0c0RhhAg zO&vPu}8Xrj3#(;f55alluy0q zE8aV()%0EhYuUR#dgI4l86ED^c|ke1JefEOXm=Lt1vp*+IAs;t(A?j%wsI9L23ggM zU_<|5|17|t2pS~19uVbVz46JRp*_fvp&7G6*1DbmR_G^UY1NPL9eU`C3$~pNCuD@1 zS3p)_7!D^pI(dwwvxPsO6FCa)gh5~j%xXe&_C?eg%wgeu7XM4O<~Lh@kf1m1Z2 zW};uI(X6c12VgMulEK1O80zen15-tO5z4vAtP@)#VivwE0B32rD`Ej<30}#1FYhr-ulfa3vfDPb7X(HD1vQRBgPcU_?hzp@q zFPf434F%DAsZXIMDbz9JB@8qUS1{;}EFz){yYaRSZTXx8n6~<^CG_LYuq;U5nr#uc zyf7j--r60HoCqD9_K&WHA5?|ZBr?d%pyPk&P%!Cchnx7fh<5A4TuLjeYwyD|e_NRb zy;x{Q3|4}4vepbjgOm%|&aZ;9&DEcw1m=^`BgS3eJCGI9Fqx z&Z*y;<4lq>`BG`C_e0yp?_xbCVaCL~Id-WevW51NG`)pZ?A&ttC7YoxOo0O{eq5Vo zmaS3|8~zlA@`28`RpgD@Q&6D7v*2g^RryxVmjR{zRziEDH~JBBTt^+)SQSid6dW&i zCW;UAeK;dA_t<+weZtP3^KjW3qnCWo`U6kGNswkJ&5xg!TS+(s~9hc2S$>qGQ@wFxF% znd^PjT9u}pO;!rJxE}ye03z%F$Ejd~1~~$y@b+6CKDyxnl{H!bqD%a^BSwQBs{D}O zIf`~4ZmbR72-*BmEWM!*O>g-7)qq$eGez+c5W>Oga@eCi+e}n1sE>bOQw7Im^oE^a z<1S~3DR4W)i3HJ%-q5Bz9eFvw(lDkBnF6G ze$^zR5nTV(b!IxfBTTxI*+_XrJff#`C~#mh zhSXy(gnYl6gBI^~!OINtdu662_-YED_M;q@KX=oTLya>A1tZl`)WtVwK)4Vw&_pMp|8>E{6sWIsd|qwaBviZ17p@rl4)~`*Bi2~PA!a~W$ivYhn~fb<*@oaO zP8RH?9^~~{@!EevA=#uBe;@_^|DfK0{D|vfGK;V@BN)GeLj=RV4cY zolS3~C`ibr?KV7@#Mn~?LuvXLlIALGb7BW}Pe0a*-7EwP_q zc2&NV@EqJAYppT8KF8SC{N!Ex@Ef~X{&=MzoPk{HA8xre@S;(i^I_t*zAxF1@OgBb ze-oJ>gH`lJH<2*H{iOAd@3g~ov?sS2+)9LG#+ zqQRjaCl4Ir6iedtCA%i$MlM6=MvhK}a?-0PIQ>Qrk8dDWKscPlDfxXwm{*Zfl~+++ z&-(wkR{@KL2wuM{E~CEBM=B&~zEfy%_HLy_o)Ji(xVg z)1PFa2({8jJm}+ez3(E z_e0CCn-*_%!Ck$H#Dtb>>f)uu3(ue%-%D;Cd9w9>7j@o9c5-L~J9&b9$4b9cVpa&$ zram+nsUdBb>r-Wt-afd>^pc0h(n714BiCV<+Q@!aW=PhJ zfh+s~Yj1@x_Tam+{VoO9#POzpUP}~A<89Xm0l+Ep1Lj2kABqEfkThw-(3Jhy$fsho z4NE~|P6B=V-IZhE_5K8_cHm-RFp%amV*s9J-uDqL1KR^PtxkqLiVoc%;z2?c;8gZ6 z-Ye>ON?6*G%eRjNsTZ6HgM_gk&l77}7nxd|!;gWHlVnR%M%z7c(aEAijz^%k2G2%t z^yb7aWqErRSG@;Mnq0PsnShz;rDYr0=WEL(;!cug{ks1$VGeFhlDmSkUi+Wosa7PW z{V~E`Wu?FRtV?O2=b{H?q<607RC#=i_H`4;8eEwq$4ozR0y95w5m>$#jr&8TsYyJWbv>{v~*nlg4!H~kiy zw2m(i$_P)dJDMHWi9?&p)^ZT~l_I>2W1j&!G4Z>;@F2@-M9gT5d^+U(W(*}~W2En| zQOzhY0s(G!2daW8}S96G|*KS2(w!mhTRPY}R#zM!RmkC^XN zs^92T8!4N27>|VP1;(kBr3fPG>6`{&b$jc=q`$q4_a&G8TTKX>AYQCfhm&tdKJ} z`01K@=ML>PI5^)S1SSi(Zxm*}q*9aooL}JKiZLY5%vyC0gw!)(w|^oq$-;4YuP_s0 z1kNGCtuVIGg{Z4b^&}*8#4i2ph8vyG*mY22MJz_b1zq4qIpZjxTBD`$eSt&ZIO&5k zdq%@bFd6$an^kG%q=TT$42KUz?#KRvtRbdgrL6;XQ=5~3^X*4q+WkSp{~Px&9+J%H zU;G2)1=*Yl$2vHL+hH+^#~}Kh=AAs3DltO14QX`#Nx~d{xw0=czyErDN(X&_Y_Mr1cR{j{EK{!e2)D| zAQ_Uz_jenAGlcj-`kNEBm<{lI6Lp`1&EX{O>^8)&EPY}c+ag-RVwJK4ndJ&~;L*uo zj+eZlkE~Qr43=~?^mNS`v1a_fTY>k(wu2g0+_9^{w-v%Xj``C`f7?)eILovz2ju@ya2e<9OjNRwg&h@`f^A3>IM3i@*A-os{Z_<9?32a}e1iVM z4eCV3;g~UZ(_8D8vk*YOM5-wUL)nY#M6`_*ZD+qj5Xe1HB-g>{&I=i_)MsN#!na`q zN($80tjviun5@jvOg`NR)_+`Nh$g&Iz2O(NG7zV5Pu8R}O%Q8~sypUU<`!7Pvif$G z9@P-Y9Z)2*e*uKy~kK0oA?G;B5@1Xm?Aq#=?0bL{WK#u z5qt40>r`!%n1Y+Wv8C3y`H{dm;L0||Ow>wC6IfW((rvIlN^&=rlz#ry~ClK{O#U!DoibMDeDEl{yme#DR0zEg!4x~ znW1F7c6?LXZsrSWHeAnmqIjq1cWFhGGni=?_;^oiH0mEji8Q3CWNyPP!cl5YL6C$2 z>o5^(%AVV|zbqS>Fvw^?KsJ&mgf?bxPGVprk#F6Wk2Z)s&YZ8&eYx_5Q8C|?SfI2eBY!RoYIFF_)|qGNjZD4)@Zy2STdAYqEs(s9EFjI%tZM& z${3m^wxmdbkEWA9$F5*RybtezNvz#$8Y6B+0n|IbkV6q~2hR87F`Jr1pmQN6?u85- z!qu>QKkZwf5}V)4tcxCpgKVV-j)B2(SCY#jo_;`ABog+Av=Iyh9Ls6ACmAG}s(M5q zw4w_R+oBCjx<@_Y&*;PD#D-+5QRMICz0@!H+U$?Rnep zqv`eET|`AiOLPvP;BeH%sB9M=U6BRKK)TN{k=e<#Y zWPRRGB{`VW2cK`^i#5k7#W^CPql)yv0%|eKrSr4YsO`@YMMCh!0C_Pfr3@r>uN(oYefHcxbs+1rgT~b3y z$I$tm`Mux!t@U5)zm~vVd?d;pbnhMaK`x9mR-Z77sZxssNRHMcpjBxG zZCp@X_B!Nlx+ z!(#4OG!mkv%f@h7(!lRA(7f^c~}C`F*_@`)9MM z1VaI$%4zUIF6XZFc1>BeXPUnYh_c=>QdWL7%3{x_seS!ko;*NI^u;)4#5_wOIbE|{ zZYu--r}RZrfONI^TXTtB{QYSj0vKOb6eGqgn;G!)_l zU7~$t(G*=1gl{tw7(>dP9~&1P*2~nOO(OeTHtmU1P#V_uR!UYh;>Wdge#*|l)n{H!Mok|H1Xprrp(7Bu)95_U}bhg$IW1wqyadP1!w?+~gC z4G3rTBU$(L`@TV=e9tN&1`m|h->az@H3pzzr}(WRY;++~pC36rjN54Crxba%MhGW_ z1(3Sk2zq}%ZlnEZfVJ*HjvcwH(V}$NVZ6vgA!VXMogE!!^(XIRw0kw=OP%~^x7IlPw<_OFEG#lLBXHk!I0%)&u;Rh8l(b9FLKN^+&@ar9xo8E%-5|CJMwV<6^% zC}8emN{x|~Zn_0?=399`e1bwG+K=?1$8q$V$HxSHcmj(|R)o3^x88T!X<|$4p?K?JXXbUXjRE?zB!PDxvFNYg$cnmu=oZzM4PlI1 z9*<)D&|9i_rkoQFGqv}#nF|{lPDAWD!+#cg^~%)-p0tToQU=%uxQ@D)WpcL@*6M{8 zL;A=@jkH85=}ENYUcN56;q+auDS~sQPEYLGy&=V|9+#4EL#Wo9=LS7Rk-TGAWz9Cy z3Z`use&oWP;M8JObX;h0R=Zh4ApG){`8b#7NABybIVY3OoO>jF)z{0M#&d=PDT!!U zoAyg3#ba5%?s*dmMyDc_1%7u*Oe4iR#-6S*6Nsz{r=3S2Vl?gZZ>(78@GzEQr+=1M zXOPTJdvnz_9CXd^5%3apJdTz&dA$rhoSs$EsBxToOj`RL9QIB1$CS~WR-ieI+ zY?NG2RASsN`B2UT25=cRdgnt($G53M?Q5^|O1SyUP1{Fv1?C?8D9B?zCcQ6sBBVoB z!p(N)>9m}w?0tiu%fgBlZ4;YN4Bp=Ev*!yj9K4EVp@lE>8B5;5x8){ALcc%2o!0{& zn|qR__NM2H2ma3+23ute0d(yb3l50Lqw5u9kETDqOcCr?G$Hy^Ig$Kpf8)!mmr@Px zDX;p!m*1#g*)hzUy|&0`ai7IfKeE`uqr+y*-5M{a#FGK-WjIw6ckdTL_rD7pE`1mw zDv@v(d`SvI%R*1A89PcNAK6PJ8^FG5bWmvLd+q~8W)-|^qOUg^j5S@Q>CX1VFCw0w z*6Zj1bJ(Zke$3U3LH*N9H zccBR@vdd`cKi^^t^rPH6pA0-yD*ByY_inO*@O*=ts29S5cvlp#<%PCtqfbiw#%`uP ze|NtroCNxSixl?d?4eG2nF>UNdHKTaL5+3gDf z**8ZlZ6)J|*rxv5pU=1ht>?=9(-tD+T4LZ@vD!?SIRW?E`)4-WmV#aOeqBkad%`M* z1*2ncSY#U&2$(AAsio|vM0d&`ahI3fS<^jR<7h__RGqwhDj_J>5D;_3^_{wS@OEbF zta0*Vj#{hzPDt*o4`ujAm8~ObYZyMcwVk|jl+!n%C&shiBct99ydtW}m}41B?f4}4 z(@5}Pwvnb2h28W+zTyv~NcgiMwvzeJsY(>|ArBf`BVe#K zjaqUV4HVzL^}YCRU1vpx?AwY^vUoYU)Nh2Po=CFQyCt%tB40@V;{W zJ|HuR+kaj5?TJAqs~J^sLD2Ub<|vV0*S6-1`Y#RQZuGO5KxtOY>pDxNJ4defct*F; z{n}+};63K(r(mvq{Qag=z?VT^m7QO%Y>c8V4nD;1OEh({jg)YZMN zcw|J7wi=}$HH^O=iZ|%}BEm%Q9!u8WJce^?p9RE|cu7^g$3)J7y7$yvM9)!9U7Uu# zB%FsE`MDIS+oxjTsxSDG>R{E9znm{+BW9}`yTzH__kI@Hr=UJftfcyFIQ(uwH>E0V z$xz;><{d}wxBxLJpTa&{GA9#ziFWn1bG$)4WjaA}zk*~VnZTCdTTb`_&+&S$hp5xR zf6P9Hs1uLqJuvZk@B<-Eh`F=91xbJSnj)=CMW}1)nP7B`<)`iX>31Jbm47$cH8W5# zm@ISMjxunk+$wqJ{JWc?qJy4UY&6$5oJe8^_43V+%}m&}0WLJ@KJ#Z%bi=LaDGpW0 zhaG!G-M)^yylEkMxl&Ov22Yng-a9%3RRx69=U$>4zsgpvqli~)8a1A6!M@9sNC$ae z5h1aG6lXCQt2*kvn(OBmAF@k>(b8 zUS5!%MztrOkftoHkNA~59gLP=5rukr8ShvBN(g*m+M)1fs8Ovb2dUskAX6;E;^TzB zwW=%ejMX2{uQpV*SF?Q5?&W0l@17esu#dF9rTlmG?G%hUb`O%|l``x%)hHS8%5?en zWT;mPxF1(sw+O#u$=Nu9p>>AMi_{Tj_i&J2!>Ra2j?CUek-y0|i1v1?yXKTdje4m2 zDDGIY1v`6oz_wugu(++U!LW1vT490d!24xfXd(mqvt8#2vS>_qhs&_Z;g_6}m--S$ z`iI|hWHLm$Iv-TSWLHg0JtpqHzah-`B4bd?rLOi>m%eRCG1epe#=^)=`A}8x&fqsp z$|&1vz6zwa7xuZv%V%5f?^NY>cn`-aB*PUSDQ?KWk!~}3zi+v|#YeIdx)^i8_t<)P z{Gg${3F-#>Y${xu+2MU9X4PW$zWE;C(^^g^COW283Apu?-1Al&`1`P@{XB}59JYpz zic0fi?>CLVC4IXl?z$Ze)n{BhS0Q6vjHDsmW^&3|rVA?!6+M=I!_#;TL@w@J*+fg_dxA1DpFkJ?ABlb7!5F-K;cq zVzyXEsn9R22QdSD-Q4&CBT1)^xuUhsl79H82_xcSe(|Ur2&5~J$X0S^S`kJdq*2qgxpYT9QR9jcgsb5FnyA*=( zewS6ob%$81)QD@*^7-CSj;HMeM@)2N^d!6r?PMmm?=if;ZE)=#SKUhfhq;W5AX$-0 zZ6>^TkLxAAKVBCMJZMWy!DAJr(N1fB?#0u0y|jwfI=+i%WdQAB?!6zz^p!=bPD?8- z2d)tk<9sKqr*k}U!G>k?=nbu;Or!(tjmVd@D@+O4L(9?EclWKhA{NGXcFWBsBC(8Dh7W!* z(bSf^1JxF$(nP_xK2vbK|4>d*8GoyZLO$`sFN&e0TcZ=V=m|_}8h(}@E^6)k3xQ?z zJ)_0LQ>y&2iAZ!K)K01_ontTT{zh$=^7a1O4TbLz@#?}#=t>AHtoRHe^X}j$>&3BX zChGhbc)wl>LCfvNNa{Ch|};q3)F{ zERIN_ec@zZyZW2Ao7+gGjkut5%`9u?RbgqVbf(|w$CeXJGI%Az{)N7bFBZHoVP|^V z|G2iS`D%an>U8<08R}wJzvXPRHuG}R@(gooalVl+bHZ~`+j4w4bYbRqGD49l-NBpJ zFMlpMJll4xUvQ~ge>p{Wx%O>Q=5pj{_ChAp@8~CZF~Y+3<P ztHJ10a?C`AwIwD~x~!!n*x*b3`Q^e<=H<~9o6IhS*~RVldGK7z$udRe<#PY*WwiR~G3eaMP%YRJzt`ic@LyT;*-!mhf9%f||ML+K|I_6# z$IGL;R{mHD|1+%r?}JR2+^fxG{mY#m&DpENp(EDI?F016}O?8WX!R=?x@gH8&WtIbU5^UH?qtK$(0*2|vEmW$;u3jgy3|BH!|mg&9Kb&8gY zBZ}H}>GNc>3(VCytN%rd^xx*QGS+6U(-#fvKV8Q9{q}}h&dyrSBx+V2{STIqY9CsT zv1S(sW&T(h|BErue9P5O$3@Mn)73N9%kztT(7>9I9}G2`9pDjzU_B04H`ck zTU^b&SUhdL+SZ@F#Ij1Gj%ywLj*}^71vz^Bj;4 z_fg09{f~=%kBj||i&4kL{>Ki!$DJ`(`}1b2_Oln4@}n(#*s_+(osmnL3sL{$Bmc{- zDT=F8aNNjzF<&HuI^OiS;=h%7wURd)~Ke|dhVLfNu z_V>QrtG^n;e)9JHcT)03D(S(}S!RIKmD0LAnXfWwtu~9^U*hS^fb~;;=??x<4p-u< zRgyr@`8O^3^qKJz^JV-_p6O}m(X@afq8R5o467g4Bz{Lyz6#g4@=a9IUT*(Uu{1y3b}=S{9ivyb^pAfsV>{?BU6RDYQnVMfFH z>;x!IWv-6J2_^1I{Bf*uzOuYp#jZGlv9Iv=hpo*zCTj<{ZH{&b%p~=EByS$Rx=d#d zyqz(}&8A}aGa}?j20DKkvnYRJfuGBTHhaTNBYti`N;H{w^(LoAn)V>9JH9sWPoWIz z@_e)Nvg)cr`VHJGx~M(gAHgDu&F=^6aXj=P-!vD|ICT6N{u@i$^+*pZiE-}gU7@Q#Lc zw^w5p!d3HYo)Pz7uA)1S3Cw&h>5>;_t_VWTWQ>{ygcVQLuXb}IwZBlDR%V`C-ntV- z?R}QtFTeJwVylN&L#r&#_}f!8p3ciVFTcUf7F|4xW?Gr?HI79R-;3D9 z{HY+{V+hp%?cU2iQMLw4{um<4fkmX;IrG#ZY+-y2<6*{s-R-zP#!W&P2Xk;+;6 z;Sw$A-{qP;BXJqH8=pJ*)IYPrlCjiardQDT+zG1e1qj$K>)C_N6c%tFBcmKBT*$*# z?N7}ne!9$gnUd=<1C6z@e|4{24U)^9k}AqBrSCBdRX*5!H41$+Ww;H)U{^L;*f72* zn}@psh3ls5g=wjhs8ut|N5X7d)V_92$a#2g8h!$&w z3l%DeGRSiJ>y$WPAYk}sHa8#cGHACn?R#jDsxj{k&WdX@n4xwU9QE+g{X;`Fe>`L^ zhub1SGY!q9)|cEC7PN7>yTT5hce1@~R~|aAeoY;#U^bh~3^rhOh#9RshhdssBU2ux zq<&Vszv4l;J8SE2>urkcsVzfX5}LXqz9G)u7+(sp+JhwvQ4m55xJMYwc(sng`zgyBCu>klZ|!_6wHSo#JMJ= zA^?pIeXM!e7=4p6T;z$y)QM^lKfkg=~;p3L?vi`-LeO^HZlI99KQjY@s5l3+d&y<9>T3RaM`7;ZK! z_x3x5_!q>L;W;WzC*&~g_}OI-7?rwbB^lK~Te2S=CwS6HW|l%Ny{tAC4!n+*Z@}Fc zaMmO|LrK+ZJWKsP7lG|ox(G#&F=J3Z4diGa<(bLZFH_lPiey&m-mI)`$WK` zQMEMn{(J&vkzu4(sF~$#OzjfP^Vql?T*%Q<5Om%9iS;F{LdX#;CsWtRb++A_bYQl9 z#CbEIE0YOGxJkyOm}gY_m;j73+lvwZ3A@0l2vG=Jh~MYhL&MZ*S1~LtRhhUYF_q)T z0(pqDbh+EeRBFiKmj|wn_SEIWv#DQ{ygNNGOiKa6^vdvfvo%lf1Ezs?Qxty$c;LpfwGz?6q00qpBhm`aL-#jwPlVuqlc; z2i^DJ40=QyZnK}dJ}(M~yDDYRT84&Wzl(d2hL1&JC4k55drU>W5?s0U77U~f%1$lO zAT&7+yv@O@SsKm(#q7iH$$@W$G+Go{}QuZJ(fM_mW?C(5>q%g*D%Ot_lnyy!m@e3E`B&TEgDwrb9?o1H(U`mLl!mG4Q~9&N!*x|JLL7h<351Oj z4gU3$e-)i}%eD@`n}R)il?}m)XA7a~t@G}qOAv=AS*`pvTn4uJ%%z*kmVUS9`Ku6K zjw$+GX|V;exTSUXTJFtE_~JfaMHZL4$`M8G8vr~S+OZWNS=2A!VsHQfAV(kq=Vw+ZLC1tWVm!0X zCl-~2I>zpKt7qV`Gth-|xkFM?i@mw?iNM{IC2{uRu6f|#PwC9CP`fv|!kcw?Gs-SF z3V7f$2JIO75xnIYJD*7;SXM{b)@7CZVj1{Sv32{yDi;e0NqL0{8LvKV(f>>!glC^s z4-o?gJ%l&84Z*ayCT+4l?Jq*AxBs&>){e6g3P3B4Bv~^?b`5S!?m1{uQAHI#P`&3Y zc>xEr5;NKNC=7ruN)?PG58&uY>mmbOC9*)7afH|tUDu}FxN9{gK~w3kg%JP7Hl zBLe>C?weDFxZH{AtCW1;rEg38FRq(+W`^se4h>5CKRR0?K8)N+fHE7 zk{v^Vfo&(LE~v%)-O#orTi@Oxo4q$m=n)9UV!w)v`sVIeZ8Hmx`$649Sc!c{k5g`j_i!Dn}Kb)rVHxEvsc@gy-ywh2yT!Y=@xx%U~8!R)EG!YP3T0q1U# z|GE1Rp`qQ~$h*96<9WwEYu=Tw@x5?)u2oaeV*=`dD(QcszRY;xLmvQkPFVRy0Z73u zowENWKV1yNyV12bz|tCHI^gD<8MCZHWoHtRIeyJSe})PVYhdx;JA!Lz(-*= zJ}VBYl!o|K&l!wKo|B0|of+1|b5TBcoP9O zO!rIL;TuhC@HXh|<1e)IpK&~k^i~`atVyqxVtL>XaDZL&JowZTf1(CFmI4pJw(fmb z#L)&nM<{@Sf#n*&S3G+sGT1RliFJHsO6 zmWv&Xs`q(N1M8J6duqe0)P+pT8zkX22^7&^xj3^C)mI2JdGJUih{G#49oE^LrN!0( z=#}+*6H_PWp9pKtT6WrC_(-|Pv%8TvR(P+rABR&CH`O*^kAz+K2T&=&ZT8WpWYpFl zaZ^a42D;^o#1aDng3nTQS-T z_4<931`+PUTh50`*+!!Bvb1kq0@kzpUfGwu=9r@$ZkqNPq;%3lhO6_&6Ljt6nRy@?VAzfwG zR8>}1t2uZQ9!{M7FZi^C4jgF69binq%C#CQ=&qXHd}7X{$Y-M2AbHg4J+_=hoSn7h zy(rSjBZ=m^6{!nBKOsDbbAcpT+)Vq~01<09w1Yb74RE_}%geUr{e@qHj5b6S#x%`@ z2Jo^f&Id+OGd8f)DNAaT2fRD{+3=E9;%F<>ROgll{re$OVRzg23?6B+>X*WanFW5L(4mHngzkPRVUp-lVMUW(Cwy_sa>OorTmBs9VER0dCo?NdvrkAMWps+ zPWG^OOLO{$e%2hPg8%=?5#U4J|KBO~DDX#B{-Ts`wZ62e6G}Z0d$U7W_1Z**y`6Gn z=-N<|H9oaH;|Sl2jT%bo_2B2c#;oI~-af-1#u=Bac8*dOqQd;=%gZ}NWRtAM$~%aG z6(wpf0I$qt<}tO6rKKzr_==CN?rrCWjS`pMhghICyP04jn#c*h2YmuG+iz|az8gDM z3AdGF^38Tx0S<-7Hrnk&WN`~Hw?~=|4{In}LgK%)EuEOcB+v`z1;d3t{l27U z&Ed6MB>6RHQpv0MS!epDe4G6j*P>HmwCB{ZFZT6K%3bL>n)!wcxwf-ZqBr+u0DerG zVXHn$PE%P32OQrLu7OfNZ^NGae!gtC>YC8n0KUwigo+)iNv&fi8>-=$DeM-P9y`51 z52pIn)Td9|`g}DAf~rp6mppBBPu8 zN?%s5S%o6&8l>IYxb*aXzgx|Iz~BS6F!p6yzx{eWK^h>aox93OW1H!{Ab>+DF4xi6 z?{OK*&yzEyqa~HlZfF$fkj|* zk%FEzKmc8LmHF}2byfvZ`-EH)p|qHty$EmFiq;<&)gUV9l_?wzpN+G8Nu#pN=R zcAR5xl){Q1o*ZjBHFOCACk3O{cO7C5eWRWj;Rnmj`Y-?rZqo4+vB-Dur#zW;^_}1M zulBl-XRR~?JA7H8%a%F0VvXeiX$Gp zk8#NPW>#`r4&zICycyNxf(G#1t1WAi!Jz*1=7=-5e}u{^;8^Iq#aq@CcbFI$Mnw(r z^S+E~KcUE47AZ)yC4kFGz4s}!`MbH75k_RrvzC^3+he{d;Rh74pSpEz-1&j)PsR@s z8VCJS1&(wOH2b16zoUX=QN zoiRM$Q}*4O29yLou%*r}J0Hkx73GWX#>Wzrn`O0EN@J{*zs-j3xHF7GET|BqWq+Bn zfH~>uy7f~M@GYrN)8YqWOMl5xn2={gTpGOEYwTLE)sqF3!Ab1rCCsA zng>6Sd+@o-R-~3_x2*ZlK*TrAQ2w9IMXZ^umS=^9QyehLx2GLccOdr(QO>V(C5E5@ z`b=L*11i_!*rao-edUs(rV!T-C^O> z6ecGR)VQ?Ye??+O} zO8|O8`3IVn4*Nu8;WQ^9|EeByRR6!Bd|Yd8fGcN z$M)B|SY^|YpNNF>LVzz!$a3G2#rXE#O*lNh1^Cvyux9YDA!arlUqk>dJ)kJ**f5*p z(VU;vH*xnYz0hy73g{T0HJW~(rMl0ARCTJyqVxVr@WufXAAY#yJ=0FkuBtti*3Y3e z>`6+!4VW+x8Mpp!Ap~*MRMDj-<(o?G@GvnDGd=>+IPfL)hYbiy`M!^e$Yw{i4H2ii z`28(H`u3+znhdM9_y6Mq)lT?AzM2=W=sNx4~)ioKN&wC*9G11ld$Z2Y)cT~mxNEq3+ ziemo{)Z||ww@zyqGX5o@41}_D*6ZP?lOw`*+Up&->)o*M&O;DKX$#tz+}wK3qzBZ& zC$U3sMnyoty7WWC7Ra6mswd)QTd@jl_KMG}f5X1k>6hqhf?&W%zAf5R|AqCl^zB0vD3}#D_X{O$b5T zoHl{2j78I`0tW#hy+ zHh#u*008k!;y+>J^NZx)chUrjK-hu{kEi;^0V|pVaFdPwX9xr_b#-J^P83+gRX&;z zD#&7U5qH-2p+I^Zu*cEV50Zp!Q&twll-u|;IRTz#nLy9Z%vZ$TOw6ow;J{c8Cuqz1 z8s3th{zVRA%G;CxZLNq1fI=$R1Jp`4-v=_g)#pHd=W{Pc2GrfEmWH$?60Y0J`kn}Q zT}SiF04-v7sk4n6b|rW6^y_DFmz)f@6~2Y$H3lF#HRk0BWT5h5@2kgDNF;f%t?^bv zsnn6`?pa#2MFV`C*|rb5$%oS-7!04Vry}AvJo{F)7MzsxLKU@i-SrsB*t6&Ruz$ZJ zal()-!3581oM7VOSiv!U%nxT67<$g0Opd|QZNbJB^PBO^@JRllf@_i0?^rCjVOx9Ph)znqtF;Xkm3n-=ghwcSTyC7Llt-vud!K8?aJx+fgJv4V z`>$k_W#NckKY0vN&>4c}m088G21^^XC1$>~pru@~FM_ruvI1Ymr>Om)@FK9yz6#lR z$Fc3Pqd|7}<@oidsC*&E>ndZp9#3|Hoe^v6+BwR<*Fq)K7A1yR$+3B`9J!aaial5& zR=e?NR4Q<~WgD4I0bXeL?O_5=qwTiI=%&;FPjw0($*}NRBtg^FHLC4kL=5xC0N~;z z6+lQlu#fy0#k|JTpK-*MjX=2B(T0~XFcRl1+t0j}$LDPFprIPrpIlKJRj_1gvIg;b z#`xq{f9>{vDftlwtlU#BgT~okt_(u=GoDO%gqpL@BI=!NEN=BPo7C&0n)TzfCY_Za zfZp^!FwbpYh=$0*hcc!*R46)`p#kVTE<>uhc<0l`M9owy5S}79SN{XStF#8wd>gdzF>n{y90P zD%Jqiw++OA&2(2$79auCJ8c*Rfpift$q6f59_5+~f5HQt!2b~_VK!UWxE^YfKdqv& zK;nb&e zH`}i4^d*pcURg(R3!8ygZ4?v0J&Kr@yB4}qElR1dlzs=FTavQ<@r{k*Jz3iQ6CX_4 z?@j+|V9?y%fk4Tcgk==q8&H1Rm@$)nyOSXLz1%luJPFM zWSbg-mLB|G$tiaKCeT}M&II3lR>fpI<^a4d!Ncdz)CSm4@eQ65mI2)+FX8c1JZ#=+@r z2;UO#+?HX;yO`&FmCz-IO+%pqX*LRpfh2SbK9^u-K?jv3rYb?~m^Dr0U=fm|Q~~sBH2Rci_Cz;=Rxr^D zU)D(izINjyOmsy|rxJV~(%A}4I5;+vwrVvl!XO&Xiq}Aw1gW70JTWdJbJy$`^-mtS zSN@72bGhD+`52Z`eMrrZEo=);r=KginRhOaUA&0@6&Z)13a+d4A%?Sl; z&?VLL(LNqYw;Q=ZHL#N&j7_I|-9KD-n|;7LtP_vwbd$|g{v=p)=lSSkz%Ze(tm%iq$Y z5b!jsXSddT$UzQoH#@yq9ST5JSZkuv=>sryT;j9oP{0ENKypA4T}>8iEPr+VliM7= za?&!-L4FyVb86pFlT7V%IgEqEIpS)XRog@~PlnDGxm~`q5Om6BPXQlzDK>8Wx*_IzAa_mufuF}e zgy$UX=Hvo3S>e-8qV)a=;w-V|PiY1eMZgbm59XGJ>5`yzYiF^@k;DU<2^KWF$>6HQ z&_K_{@gXzW*R?TAp{FO45)2>##%YZwfA5rz4J5VRZ`>s*SR0D~D(FS=j_+jS+D&WR zv9B{xYT=-(o#%+njOrFnM&N7)GQxVz1NVzPN={YRU(c71f+c;X@u`zDx{eMuhPKp~ z`fV9yzKLwR)=JOm%gbvhS3dO}xy4$g_Cg>tUql)x2?bruJEO$ty8SfiaaRLhV~uHXTb>T%Pe<8oond) zet7oZ=D=9wfAGX_Yu6CiCF+Vuw@=q8$4w3`BXPzD9^_tM)4WvnsX0}?II`zvHQFWK z^S538lpM8LWwYb9(o5TKX#)}A`CCW4P&AtBuDJg1v3vh+mQooqH_|S1C!%NG68~B& zpDsIwk-;=Q3i~qZfh?F?;1vx?qX2=#+!%ytMs6BEsla{k#w3vFa!lqMD+XVf|w~Ho*n65!dDr(hd z5;ZW8o&zk+#=Xt>|1M6-femU06a<&QX+LJ5ESo>5lB#&pHYM_H@+o0BHZY1=HVvp6 zHrqFX+mcyzxa{YOt48>WgvIP&esTLeaGwZE9s9dvEt}wKLo-y1Gz2Y z*HfM}Mc;OyaCrRWLFf5_ah0|;()7wwo4hrZHonLIv8ZDwQ!&0O+t~WPGAhV2Z!A#w zyZ)j`L6`CJf<_pzJ;UWS)(Vs96^a1uv?Y9qeIidV2%l)Ot;LCf4BbWt$yRn@OVswH zRDWnt1n@PQH{OLJiM{tJ>&kPAG)%E7#7QrGi3yVILUV^jY+K9t^S0$->rxgl#OyYM zrNDP^aK1^E{q~?3Z<3p_?(NPqr3f9CzcM*ez%3Yw+Um}bRa?IS{E%dwzDC7VSIQlp z7s~M2jntUA(?kmfOam~f`oh0ItU#H? zvUH)__Ey=6XAWsxVn#YC?f}qtJDq(#S9oLI!6!vDh#EZmCt2RIVNgz>mf}C26DNtZ zC!cC3hh=}VdahwMUPr_^sVs?-y%<+D9gl8&j^rP%auN3Gb)*?^_~F){@hYh0kQJqL z!Qi+!d-TAD^vlDI2lw-lzIs+IKA(k~HcK=*JymdRNGq?T_!0Blh-F|%F zSvYc_=Ty~Rntl?W(YG$doBMW!E93SqIbd<7@yCH3fkN-@tH+7Ad$y2&LjtOw@C(D7 zv5gSzVrOT#R~h5+-Uty4w1f8T##fJ`KJ5Xa;Ll1;+om?!?e+$%mhhKAo{vj!;@;j*vsYM0!5r2@Np-+nJ>J7!uF4fe5W`evkV12wOi z=`>~A!DpG7x-2C>C(eq>(OB7z>?Sn`n82dHC5&?gwGWRz`;ftSXG-furzS#y#IS%5 zH)*_W#sP>NXaXq#3I>2=pxB9;?V4U$==Esu|8w%CT?*5}Pp5}`$eCU5h$@JGIWj8G zo;no}4HS^&<`ixi$Tsw%w0~Ef`f+=$P{6Y5{WrFb+-JV!fLfm1r!Mgi&FqU$ePcbz zUL9`5fU*bsMrW(Cha4DRC$nc2`V~~p&CUFbURJSeKp;28R;B!pKn}t*Adn;5W^a~C zqP{w>){V~I9_xE6sCZJ+E!6_@@}5rbT?&`k8fhR_GmAat4P znEt1*+6t6e+g00lH`4)WJy68z|2LEz)K&E{r}nK_tuQ9#t`g?541R#hM1NF*Q7YVb z)&3>6eJCqS`|0=mTWwER1n+Nk;l6tmc^C{w;t|{I!YCb3)~5ajJW?6qwI#W)kY?

9?c!2X%7k@8)!XWK`*S zQK~;%`7}29<-j|8asTx=-=~btjBU_x_on=GX;7<{q@m9;jtQ+E$Pg6kaxFo|6O6aL zDWhoA$$`x1*?WyBe@B*fHB~nSCnk1Pp1OH$ebgMf=vAmu!waU=l; zr3I>xa&a3vf4LK7!|B~%kY##Wmd`$yEw!F#3RJ)~6f22XQR<9gV1R19t+S-l42qX^ zsl?adEis=8jzYzG<}pETZsy|%qaZPmD$@~o0jeiJtvUBRxz$Lgs^}EO@B_uq8+W#q z@U&ZcB0SvYiAiN3t%}{OB&Vr-&8CDTC}Kh6Tl6bEc_e(U5&xSBF{{)2925lk&2Bz= zs*S}1Le_L`!{3l^%08wL>Vbr_4pLn9rJ(dSP6^8nNA@-v0o^*)Y4#qTFCaOMOFW=B z2ImQC1Qn?arR%mO#o1@tjZsnS-pesuI*W&Y8*5JguW-%qpKzTjNeCAbFBW%=XL-d) zc5T>XRqmLf9}#O6M>jVAexlW45Fcm_;~-$ov;bnmz;IFb4U229GB4ZP5|QipvU*MN zp}%23$}aNrv3q67$X==;BrV(4?&ekE6ICWGzRnD9L=Kx2*3N_6k3pQL{l4i-SO1pD zlGj^ZW2xAZkn1>S;W{&m1GlrDUI_rPR@?ld+f+b#X=?e~=mUW9aDt!+3ioX~N@kn_ zl_e_B7!T`bu&*FZds zzdhE-=rrtI@Lc(N71OY|9zjy}?;ZlB1!L(9)Ju~4?H_KIQGc;3W5CP4<#F-TJcV(l zRc9xu#m6;B7XsGTnEuQ?2t01feJGzPAh&K^bo)7^I6L)C)g#A{Dsg3ur{)u5LdYK3 z#`Lp-+X*DgXEP&RS%))YMI=0{@kL>IYxrr6EnRUyJmFf?u8T!;5u?JcUL)BIQwz6u zW}B3i;o1@3ewrPy18J3co$Lk}u@Lf~#CbI`p!ob3_y9a@-wfQW0nbz@hR#Q(4rhC3 z5I+T}nZ8ZW4n}rf|Iz~@#oxLIl5B=2t|g)mzX)b~4+4?KaQo4ReeS6zyoGmBl<_hF z#bR9*r7S^DDPX4Y*=y5s7|eqYVnE$6&PuX4(qp6QRj#1l_JyF^G;Av99RU_`=^1{i z0m&Q-p)T**11LLRX%sFYHnvC8_ZVUPXaA|EawCNv=S=Ef#U$Y#10OMWtI_KI_|7j+ z(+TH|Z=^=vi?WKZKo8O8*xGM@8Ujn!bh*QjOLz8dMAzUA!;5#X2vCgo*ay5;uAfTl zz#Bs~_i6vFE2JT5PrS#tL6w&4xC?NGp&Tjfs(|W^Li4xT7;j;D4n=#XO#2Tp` zrwVQxTlzlK2}#3ce!Mn2Ye4eHW^Gb`1D8o1AwZqLM3sR; zMG#VXPN{+T1Z55+sO@#S-I=kx`vF>enLIMC>--Vv3citAov$)~1+^u=r9V1-z-1~} zOUlv9-dFQbbO3LP1>!4C`3d>ts7eI^}3N1 zkVD*M#gENIA+5P4Ew3r5FC6XlF}WH)Sp%$@1-0&%(_Tyv6>SLynBRMO^Q`GRVmbv9 z$Q|UMbT>=mKpqxBYo$F8k~HjL;s&lbZN!bd%K>y$AueiX6j#m=7ws$6nz!)liv=yB zkNehJyhBD7G{)H{TCT;GFn~LwxOa7M+X`wv6c_)kNj{N+p+290(OBUJ?{2DnE4>lb z5Il@G5^u^zL5)NGv;MdWuSKdY7qn(5;)BXZ<)ZRjy*8a68o_AW;&deX!}8<_gi3o= z+>C?zc}N;Acllv_)ot@#5!^ITkGR&U1<=)5NZ;MgjiQKGGwkD|KB1keLEG zcEqR&7FYTrUMgJvrc7PRahQXh!8GRmfjREeMK8m#sv77c;Xp_2q=sNkR#=75n30d@ z|6gjg7zJHX`Fhz`f88Tidf3nvRF}?=IDS7?pK#y4GY$kZ46M0BPDtQe&b{UiW1(HW zb))=h23ft7sp@w?XEm!)7bk2RVf#KxoZ+cHR2wM|f^mH(P_#qR$%m`j2`=z~Iv+%j z0H2ePA?#-fR9E+6oL;xTVfYXy^QvXwVHPIa$YFiNB8pJwX6c?Mca=&|RYGW;hQP;W zl>DOKpAjo;hKLJEv3f8nm~aIszmS_uwVtvoX>Ouqj zD>+|mhj^V*g7PYw4p)nIFe+aEQ``YJjL*Mse^?;s?~k6c7ezd=-P_|`XODzJb+Pwx zG=rBb{@?BE0E33;toU2)AXH>Algl4(0~3eGD>j0oBc88??wMW{LgFhY9R!)jcHVbW z?q<&;4t%Y1?zr)@bD7fYOND`M^GRvrhhycKy`@R0SL_)~i=M+`>m*Ccyo}T{wn)B$ zxI5Bl3KA}YS?L>Ik*+IJsy~_Z&3`_XlaIu)Rudf$B1=%2-T~#z{$)FS;;ynVq|slh z0=i~w@A~qkFp#^gD;UrSAoqSbfWlNO?UQrPWii4E{bNrs$RcmOTOh}mGZWZ$hMQDr zO%P46N`P`0-$)ketRTdakZRYd;Psua6mFA@5X9eF(_PLpl%725XK*gJ%kMi@>C^=9 zfrzv}Xu~s7C?fKHr%CaT-~t=$YeA40R~=aT@a`#)5I-EHdTryG)6J_X`4?m2pUQb~ z*4Bq*?;?$k>|Q)v9XIS5E8b!uh7?&2EpbO7bo`2aGU~pSF7ye`c#5j7wHsf&DaB`J z@T+pq*lGCT>_`U+_kyZqHSoKJcepwy1`VfxOeM3zoIf(=ymFgemB#uh^RUV)Z$E^R zP^Qs$)?BXSbMwv(NIrL`n>C2I2c0KwL%24BPx(&^1tF1PJgClB7LX6VoB@^2_%shR z<^#i@+S5UHBOO(;n&%?5z6wtJz8p4KrVb%fmeuRk`n*~W4eu)CagQ@l;BTZJ_^XKw z3Lr4&Vd)1Oo&;!rE_T0S2mUhsMyrZXN^fPaGH3%?4uPHgAGXdiDylwg_ktoN(jW~( zx3qM}(2Yo`GzfzrB|`{ENvDAH0K-tyH4I2Mf^_rl(hN z**}HOZv5AYRLHxN+AtHqb;5N#AH9qsth;yyOuupe0E}6B7YlSls7>M4k;AT&6+7D! zc0tJv5z~9>q<^HOCM_ed@U0R6tp^ZGQQLzj+WzZ;;rb9j;2%?2U3nM)Eso#XM7%W_ zHh2Qnt3bZf=}cZDD7ZVXoqR{q?1UviJRD#zWL#H^j%9o z1I)gWl(R3-BYd>}Tq|<{CY^BmFLWR9rR|hlaFY@s%88j%M8W2sAy(v8M*>p6~ zvlY$^HHnFN=yX$QA9L)JgGV%G7=YvY%KvQbGnX9nqk_Z|JfA*xNISd!=qQ~X5ql~p z*j8W}EPLDItw#WzR_bT|-NN>CxCxYn@eh%T6>W5kCBTlkZ5p zEP@f~@UhEV3)ray|K5!Lqsfq)Lk8s7TNb3);@)fy?h7<|9yds5%)}H~-2Xn{)bZa3 z=$1wDT<|v~qmV`HUr+b`5M10R;;aG_NJU6LfUlV6cnir@uQp5Hh-xA+K=0els0s_E zfqpZLu(zCs_36K6|7fpU+&`!{)v1dr}GAjIY2wi?q6-4+jd<6ii zi#pE#WMgk4rd4YIeFdDBWO2X(eZEh~h#E@223(i=IH^g$;Q6bOkN+$M&TEoI!=?{(AxKBwfn7k1x^l`xygZ*}%VgM3t0kE^=uA z1uvs@bpHQ2mOWih&v-XPJ2Fa_L@L}{=6p_omU_cgu7q(3P$Y%vC)fx7Db4Samc$9w zYB_mDRisS?sHa=Mboap7^S9qGF8xbUG}Z%)-f?Yeu8uv^s&KK3Z!L@U2dDJ`-{l^~ zp4KnS0DhHPH299bvY57#5EGO^Z)?YN+**5mCNHNXls~k2q*dH2{VZsxIZel~kMlQB zj2%{4Yq)zv)c}r(C-w-quE1$S6jT7?D!d3&-Eib{y3XoL!k4ykie(@fJ8j_(K><}L zbYHIkXp`p)fS;SXdGse~901J_ zx?uiZnR*jW`4DKxr3_miM%M7^|Ek>;1AgX!3nd>Q>i>^M`Zl$N>KhOsWA2&>>l=qh z$o})1KlDog=hAc+V(I}fgpbWwSNhBSUlGm3pyizL|2lT>34q`dfX-i5yInT-P}|a{ z+9S^@)?a1}DU)u0+~No2_k-;muJYfu){p@diebl`PQbs_)4r>fvTycrghK_uCKJh+ z=6SO+R}1?N-9f7+|L3*&TK_ySV*}R`8+j`?6`)SRKbi=Fmat8JwIl)pP({IZR$IjX zXXWHut%T3n;EK;90G`IYnt7_i&$Uu!QhgEH>;f`G;dwS>le_TOM_@!+5Q1q_+Yt82eSboket zQ#>j&+VaaH6(QXj*S0vLwov4Ma?qvgqIweQ?C z&-{N?^03P>Rt=a)E|WjB#k&OYXj#;}1KJ-Y6XvY{!gzoaJ!@x;O|%ultTO4J<$uVh z=hn@>oc$1TxgbZjOI`OK{rACzpDSaOXC!-@Dgor;-)6kg|6nzu?q;%4u56m~G7!rD zAuuU$FTay`@G>f^-De?b3-C`!4VKXj3XA}+_Ga%+o#+`BVbE`_RGMd{*NqoM*EfP< zFY%gQRGx&2H>Y?!TwA2e2fUcK8%=;TJo?)0AC==-QlQaZq$T%8KrEvE*;kIEb{}ucmw5-4r|l_(1&8fQku?MVD5?Qzw~e(!*T$S-R9wh6 z$Uvx9%6rHjm0`#ZFlaeByC#W55sXZm5yeBg{C;nf0}{2v#W^RYGMe|H9NBHg74&mh zBFrllgD6G={;=dC1>-jv^R9*MgO{b$ZBW=rCq|~bOg_vj8RK=UGk^+ZhNz4+7_rI1 z@p3XtMjN6I{9Q?8irto>qbHHcm>NB=t7lVXdVbuu6j01)FqAOHejwqF~8*v(ur z18{W$ZOi{cJJSBSR@{TkaP*(F6?bjFJt&ggP9zhi{EdxjI3T8N?uB3HBzXD{%iTsE~ zod+;}elbPo1ANm|NW~iQk<1^XI@Wj}09^sJ%G_?8NPU z&WwfcC?)_1{hTiU8u#DK7PYHl^iO&dFEbM;|F39?A^vF`C#OJE5r}A%9MhyUwSu7Q z3iZ@F=CteMJ$3ebz!H>PS+zAx44B#I{U!?~^F13HNOt2)KR})Uef+x|l5rqW)I0ZB zt(Ns`fMey1^{Pm*%fNIAEdIhhh|QiZIASp81CamV3rvi3mNUO+0X&MbYJW%(G7`{M z@|j4RPpAF|23z9-V6eJVcSyXl%mbT^?N(ySD{3N2?Cm;>@4k4Tf7Hqth2fbO70}8S zEn#%sG?%26JO|dZ0YXL@uV`Qz0+w{3FY+m`EGIPV7X)VDw0&kBe(IqXV0MHU}jbIt7-wSQ9+nzabv7l~Y73XNV)j`b3dOs^-7E9}$ z`049rBw!)|U8BTN9jc=8Oa#!9tp?*J|82c|CJk7z{P_P1`~wFx3&6lH{8nPxd}yCfwWj_i$|@=9JmZpXY1RrgVh z<8S^BPqUfJjft;YSp@Gn#Fs5|GRjnWNCNDHTPG=p6|*7t$A9lnJiBvt|AIwt1&vbA zKgf2}JT-6+Jh&gT8i5E_w37coj$drBO=y`HoA~CWf}n3IiIyHTf(KZoY=o7MQ&z9* z3`?)ID^{u)d+k-esI5HI|8hD*Mzw3B(mIL<(XUx}I!t*Tu21GD@3l@^0(N{A@!k>M z$btW=+}d=p#;Ub2_s1br+!I=>u%;!@Qd>h5SdB7BXZK7RK|g;{!C@E95f+K zi4UJMuJ^3iUB4YS3XTe)=Qip}($ykO!4iHwa3YC}R7$}bXc64>R#_Eu7wu>2Z_1Zv zKF%P^(@jJl64VatXp-kaiw|$)GbYZQ%>`46LWQTOn4$_a5;S>tS)g<8I25kF<3NlS zVaHmhNwuk^$08>E?&r-X#2s4HXod9kfGIO#RCh3LUH!Lutv`Qr3PYE-%jO_i2(hs) z?6ch8oq3KUp{R`-t}~U=<|Jz@>6we49lQ6su#<3B zRv<@+45WXBfbyck2#5A|M?hWAdI9Ar-%_w5|C%rvn%XKqo&+Vid6uYY@9uf7 zXS8s4y!T8}y5|_!>BvlFU!jv!S0tDzd&6wCZJ6v*XEE9@H^7jhAMim#n9RV!@sjRK zdbXdt+6JZ(zw)~^$>;$#Z;Xu4YqeKG>WMSpg>A>EpWYOCOWpT%H>YjT@Qz$BledO$uOK&pl`$u^%n>D7iNF9I?q@$(rVR?iHF1E!C^X37 zkCm2xxY)RwIwz8^kW&~QZ?z`5nSwRpKk#-wcT?37{*d#%oXbR^x-`Sr0AXRJ(x}7I zY_XM#m84@1t26!3+V>?3n`^=+_emZ26+4pU<;`h5x`s|-+v}C-`gpr}ZHkjSMEJ*g z)jofHvYWL0=QaF3oEjxjIz8^G4|;_VMN547d{bsbjM(q0SDBm{Y3l1`ZHbrHCFW~N za;vm-hO0M+>MrJr7%E12OQZ;v=h5dnJU(*@W1H|N*00DdD^d{$^SLn>2t$dSJ{G%o z(vfqP29!>W8a2tCEyjy}$%uswIBE(G>V$UWI(3XDX(jq-JCdSO+!oV2-Z$fp-VO|N zObEFQh;ohS-x#zg$+v+EebPD0*|xaO95!OAo&IKv;a{8%#oM{9>q+xQSkdV0D=3C% z80{SzfrO-!r#;*LfPIzyHLmKq8bmld{HWRUc9co8EfUbW_HGyXk%qJLYK5Q8Qnlb; zBUH`S=HHU%IW^-uHruK%#1492>ef!x2K0OTNKugIg^Dprxi5sy*Hd9bHPt4eP=?Py zg1?MY-WeXpMDf$1g=5}9N~D)Hf%^3h@jG`DQH7nAkfDYwosP;DFJ+bZYPpcKZ14X3 z0ST~9%Ex=dSx!L*C1LPtU9FbGBaSl+0O7V!#UnU?yDdl?T#Qj%+wVV7h>`*Ndchbe8K`9U^H&-d zIC-UT8Epm3ThPtTEJWgm5|X&QE~FD6nAIsAdQNe z5Di^0OC+bV9hoG`Ti?@H&@#j$#nV`^fTh2$BX9mhs7}7kk&B!k`&+7%B6!H z-Ypq|pghaEnK;oR5$l|H%GSvABySVYj!6ZPG3%*KGN)#IjLu*Ae36SoaFs;FXP>DX z=$5+Qb>fbYfgA30O@}t`oER;A<8A{Y1CzTj(V|fa89gXribsmNe+oL;9Tv`yN5XLU z>udm0*IStPSi1DImgUT0)t5uW4guY2ed(4{I3Kzk9FLAp@TAps`B}6KZ|z5T7NLTp zi!NtJ;7)&Uyz-U%T1^vp&^yE{-c)3H#L3X`o9OFll|<@DzZXuLgJL%$#$i&5!;S`_ zAJ2pe&F2v^R%=2w`77df%03aCp>0jPxj$SjWOA$85D7jC$~#>hokjau#_;}(j1Gtf zhrUKe4fi(}#mW2fBZ^@#+@wGSOA154zViy>D`GVx90eAJE!Esih!n=BZzOXCWeexY z$dlzS{~XBLqPC+o+~FxXqHSXP62`!$FP%413A0pCH}p>%sdVAd!uPX_#esN`k9)Q? zoLCsXm4DV-xtPYU)8S#KOf1vS$Fid*Pq=`>?QhQ7Mb?<{}rW3P=nK91V zDVQRw87s_QG<{VeFOYJc?Q(K0NOp-#n`6t9FsieYiWBlflq_v=k>@q5pnm!OP)=a- za8!b?m6mP(bxl>_vQaKhmV9mSi!Vw#Wgb~Cbdvl;&FOUPswc})Bu240!(v-XDT`R= z?y^E9-2bp{Ims})DX{PNHj;{|oNk-y!hbGFS2+*rHX5uw&Y%5fCN!rzy>05s$?weh zHgReQOOOZANH3)-sX;;LYgkfjcb@oc!$i3AoW@h)WY4$QuSCv29G#!TS%~Cy(vDEE zXdOl$c0mo>dMvD%q)MCpr5o$NE$8j1mD}4M*hUgw7G`{Bz}ZMQh$Nz>=~;YCnm5)E z-=X+O+5PJ->q1UNZ>8QS0xz$cmfX?enkgiz;Nz3iRe^eFIK%yJRIpBK{_^E=(~5M^ynTi{08P9Z(`An|7}e_ zRM4;f%#i1bKv0?Exl)oZt2JrBBXO+`4R+H6j$$p}@$c-mG;uhebw5c9w^pDHcPvY9 zSEy2?aLJp?05u6CefhD*fK$co4GZ%h%6FAZh0B>&66LwVA@kGV;A{*Q1?Fmw(6{ez zg3Qup?T*|P=F3f+c01TYsnaXelE@XJ)ZDkvM_PsCQJvT&{K}0<{II9Co{eet>?bB@|irR!Fu)17At63a7h z6VIGSKJnY8p_9Ezwvv7M_xB7APb~PFO4q3T2`-v`6hw;Wf@3 z2J=aWA2;fQOxOx}x^7ZTlvQ$C=Qa1c>$Vg*W(_?w2?#JJY1JbI)U>o8en7ZPR_ZN{ zdVOM#b=M7jyzi1wu^ACbW}1|HAVQFqGFdX%`~-3li?C6Sb*~CC=?w$r4U60lEoLB4 zinD>9Ocv8j(9nWDZy#O+m%{LO2lz>GewsNX0V`J!!IQ*U0=5DV;{J zhI_+{JJ|^{*Qi?2E!sa;!VmOA!#;XIls1X0xMGkk>eoiXT#bf~>yBxS!V8TIFg~qm zUv*PynAb|DErMuAV{Nm=))#$e>g~Z;azOnee@}^oaFnQdCe1ADy*EpXFh~% zQ=c?C^{`#~u3^KHB0HfOBmS^Y8jmjWbLD8Z@h>@*i%Q=-BT&GhQ}T5kg|)d78e@Ix zyGH2G7o|?6^DkZca|g^OjW$Liz;Fs9>mtG6z1rVSLXN|^&(o6i|JsZ$Qe^jXtu-YQ7P~x<<7Vvolk`tOhMq$>k+<5N=TCKdhpX&X6cZ6! z?FKqv6lu#rp0MTYH_iVnrmi0!QaPUfuxMRIXrBT!9Z+ z!{^+whyq_8DcNFp+Epk!&{~jh3+jy2B=>qBm{x#6XOhX@GYQAe$Wo=k;xbcRgkutY z(^AWEaixdME4GoWDx5Y@BQ8Vi*QKVGr8E#U?}CL)$!TKBcVBV*S7S(7pSGi{6XlM1 zrB^KfL0`s)S<3dx^b)#jq}s>+!SLH>Uw5z$r8hmM3fzqHKsM%v21Cj2c?QxgH}w6C zp`$k(9d{Stae@5x1`8N(UXXz^TFFOCqfhtdus<@b47%LOLoT@%@X}IEL(AFeiNegI z!aP9^Px1?XL%!%_lTbIByg8C3H0OH@pU--K=L~|c>U;B{?VZX;G%*b!$26lDQQkh| zN>>?Xy{76r-F2O8B7INYTnl>(!6~f=3wl$$zZ`b$p6?JH#_}pBeuJ&>MmrHit0gQ_ zDTU2}RYH{%d>(868{j>ejLLmT@~WbtTiJHHunp&gys4)phFIwWYl9A_<`0Nx2YZH5 z*_1RPI}S(MgHC+q$EjUxGJmADb8+sF@$&VY9R_+rI`iFyGQ9vqdv4#WbunR6(F5Zd;IjcxaPlHQ^5PRwCXtIO& zVcW#1FgeJ>Dn+?8S^1uZHuO){7bUn>cEDP(PK`Pt8iQY<5p2ZL&n4PmYg(L47}4jg zKeiFhkC{S0-B)4`j2{y)`a*d#oB>I5H5TdHplr~7;$$Gb{zU$GXVRnNgZ;({Ji}Ho z&!M#JrnIjUl>|FYBbl6)e#3gi6^CqjMT!Z1exUVflAM?GEQhX%-~*aKvhC`)(&IF* zwzP(#El}H(&u$MJXaAM>QyY`Ly*5Hplp0$d04wWZJB(|5?p;=sBM+C(Rkur1p0K-W6{n!h zL=T_gu5608hS(yy9prdzMqlu?-Q zO@nTn4uUj!JN5RAo`EAyR3=FPcNb7o&{_NDtvR|8QNpvb1GsAP8<^xlOw{yl9C62Z z2hmEX35{;ge3W~lm9K+Ho__MlT8Wju&$c4&hht1?IG7b1FHPW^S- zXT+!l1yW!8vP6EqNjuvEi-F3c9_6#F0h&&>6>8hwr*}$?N{UO*cBXy$WZMjU+_e)% z(w=CN_*^L_yt2dZlROKL67!0^3_`S4s9V$1+9$1R#OPeXp(E}&LJ(#AC>F;tZo&+& z(U#O3OD86+a?oLN)(~}KOxmj}JJ3ESX&t)cn8lNH-f78u=9rJca-3W+V<-I3^e4+w z-gi`T7}}GxLo&ut{VF2jG9!mims)1-Ie24#1vu9qZw;AmgqY3k3}uqAMe zKCZF*uCe+zJ)d?*@k}X*cZ6OhDX+X}Q|qI-6rUby^QlRSRl$_vq?%NGO7bx*mD)M6 z++s&8TQrhO`r;E3JoB?mEy)bSr@>>)*dVU)OPHU)KyZ~;6Y$Ci;p=QMLrI-6v-H*WtF!8k_x!|$oc0TP2=mq$9$Vt=_@iSH9`Z9I! z+Woh9*u_`9c)hVytHF<2mB*M($s}CsJBFGY5BJAQsTRndC^y1&I%>9erY~Q|IKYSX zQLe~o$R?&$DqMxc+)TrqutKsftaJ|y-*R_w=;8~Y5ymm#-1q^26mFvy#MFn&Z9Uvv z@_xyZT6${6&dEq~kkI_T%!@K;d-sPW&d!Ta2mD53a+1L#XaATYYP45d^76r2UDBc1 z2QEqpbOHHzFqbS6vJOb+k1C@Y1YqS5^R&{8{1bY@svrf`GhH7ZSwAmB?32g2S_fY` zKOfd(D@Q5ywF=}pcJMaE=bxJhBuHl{`MAN<(q_RRi(VQLhUs@0^9%LmII?Gg{jJLu z2~7SkL9{%XhvKbN*ca;4eDly$gViARCx(!aivpD^?S7{s)N$DbkwR7eM=vRl*{)zO z@_lFZ;LD&_nNrE#jRA?t$E}1>3+X&If3E0Ikb(%T3#HE>XrI2C9{ZT9enmKZwi37( z!HE$zD#4=eS(XmRVw>)7cy0E_T`(!t41nyXEv^QHeb4;9c;9eTybX?bq;fhzCV9JhG7G)o1v=+%(q#?xZ zFt;2x4?aiS5&mhD+Fe7+zxcK%DgW46Z!xf&UHD71j#)Fiz32)lxzN&qkVqzu!4dzv<{RT^KkvgZM zOS^owu{u^_g-sTM;LQ``%)`!Hp(Vk%&HVQ>T~C7d`?{$zNYlJCcYLeDFg-o@cZUy6^X!8;&e5#M7oOi+&UdBUeG84Vjz_hsxuM zII0~ZOTC)xdD>-M#bo!@ z_lnZumaZnDuV%LCT>luR=5Ct)(qD464;+o^NStKtlT8R!aLFsCFT)QF->YFF8*sbpJByw;+0V# z;otlU89VO<62`ET1d}HtDRF^ax~G-EC&a3hAu&84_N1?$QAVu9tHZ5WZsc4*uVAq! zu5Y~%?3COIiRydtHEpXR|J|C$@M=hzP8KPZdvN8^r){|YK<|9_jskDpF$X&Kt9b7q zm$GSllFzCbeGVeo-2~F(u;qamz(jSJ<5aS9mzJz1X4CO|IuJ0t$Tap~S5U@T{w<9;{j3@F_G zzTTuo-z`Y1?c5*s6oU`e;6ORY7@U;Xd!IG`aV(}e_%v|ByAeF3;1iMzg3eB}h$Bef zYR;LDg4~l0vSk@Gk|w1+kWC79xCevzhKqwYsKM*H><{X`YoA~Gw{e%KC43BT2xHIE zr*|E!JTm-V?V{AAlY&$EhEspz7SklvX`0&`qhOu3japVXugEeWh5>s~ubaPf=sKps zLS)j_!`t;-)aOdQTu;=}2|ph!pM`RS(!P!D>Zg*ztp+#QJPu*^I|1(VlGW+HgGOQK zpQ)lNtJVivom{wAalkp(v@~2M!quQC9XoPaW?&xDbEV( zgV1?jIX4~-AgsElTSn?bM`Pe3-+K(^jc{_RfMrfv@GXW_f)LsEc*FW#5EDH4`03qt zmLK2YxRT0iq!@TnfAUgWqbgUQ;pJo`l3xXBz?p|gaYQnKg`ub`eORSLLS>Nda-M7L z!r4Hn^NytjXd)>+V2zx?i241+Yt!~!R*MAUty_#rg(pT7jqyXKn67Up{ArXpz^imJ z9ECh;?^9ex6ect3Zs=#ga8X_2j;zI5_N}Axxh*;WEDf(ww;Yt=nR8)(tFO0?`XqKt z+Cs6*t$R~cd{2YeXfn`h4bVc8UoPiS8#^~T3;VZ|BIiUAQ_V#5WeBUavShP1U(`$A z@Jbb;Qkv!4;=XwL_gk*DPGcoH{LZUN`oET&7>`%4~wD8Q<=uzGFvDEb>3TqpWpb!1qrA7 z7eVy6uKiych-BJSbeu3ig@pe#PusrO6gYE+{wTerwt8FTv4U#Q9#_m_nEbNohk&Ux zClLvA?n-(Snk8@v{m8<;MZ|T989o|2)FR-LM)n8v$hXy)5HATchK6TmPKdl+VV2RV z8$lx2&qOZPjK2J^wkcV|_WTUg&}PWUi6_eXRUKu8D}z4Wg3j(!~4j7Jl*--b{sz=KVM;s1`}VnoRRm{15N(NG#|L#2{31 zJn7JU|NKX8S(@7V!5{gsz4-MMk^PV#VvoYW2L?--FdoTM?8+GgF+M~-XPF9GVLFM; zY(jN((%rCgAV+U5-Ddm?tYX?Wg_tww_-uI%MP8}yyRTuSFIc`f(BMp&oFK^ZtMu)`kl&~Pd+7yS8Q?5 z8L9h{E4n7XwudjWK@XJ<^5~G}%PE9&uU3A~yILC>pL{}XkNH$eaOxLgi(je_=kYvq zULWufl`cs>9(Sb_oN)E4$hPtSv?^I*!6?PczU75IdSEpWJhma~!bj?~QE?I)bs6LR z)?TYRS^eg!?7caS-Lfa)O^VopgF>Z20zcdQU9<~rFd1)HGs8R6g zU6RE6!&Jc(d?dTi9W`b9ewN#^hjf4A1h_WfLb?mPpmZuaQ+!t7`LVz58&VYIYo}vr zNU>UKCOGnsXZuY>{_Op8J$sPkviWRk7&a*C!)%`HCEv2NSl>m{z$_)ofL%ok&POrd zIH%9)Li;W0ut+?mSjsLT`S|3)0Os+wId|i34Z=NBE6Hk2=KC=Q`S$Gc%Ko@h4%hOw zc_xu-TfGYz+4S|(yQL+{pT0e==WnjqT+jG06WA`2qun9dCN^q+W7JHYr zX!A9izr}sX1iT|X{_>?RvRwg4CM|+-o?C;=#9fP}9Z#wzWw-EZItqJ`xTN@VV9Je8rlUe zF>O>DsJ3p8{Ux$Q4E@Qj`jQ3FY$Fk-NM&XbX=eN?72A$|6mKr|*wNF*DB<@rIfTm2 z-l4h_19DquJH_l8dEuVpL^( z93NVXr5lFOsK@f*bv8yl*5+JnD)aRGYq+t>K>&$2hN zUU`%;(=HJH2*87BgjuJz9!r;CK{vnx)^{05mYOKC2-RR08~)%=K*#03b<4dnl~2z< zg2f!+t25`CGEx+;j8ZwiwAy?_`m)rWfFk$r(|`=~ke2U_)v_BN%*Vv)U+w}*q@Sy`~r_52&>+$U z$6q!S*MC%zwmvW;TKJ8O)#F#S`~mUXJZY9MA_`H_1b7cVbV$L5;^x;GL-eFz=Rd1q zPV!~7C&REaPB2Mnpzo`KfXJ5pVbAysOuo7I*>$4soa%SptH zWpW&5L^grQ7i1LK{M*A0?7P6z0Q{4sI49~Bi8D?feNwtqjE*pl_YDk>@@WdQ=@Wy@ zMg%{ivT79^l++-ssKbD?&1-h}+hJMG_SQS#HTFFhi6fi^J25+~+(;<=mQm|Svx{*F zAgFxra`eMU7(pyX*;J6`P4?m%kDUz4*mlOlnnT<=<_9k0CDqB$$vDS*o#5AHk_vVAWRI{r5?E7k zzwYv8C(jxBW)FznGX0rC)`eVa-7GCU$9p8d>9j1erI8waT1}FK2KOFe*9o%;2kyu-7UdE`!>E+!G}|C9~) zjlA(0ITEcSAceQEmpB3<>v!npNJ;_ly}qwvU8Vg67%ucC-Xuda{rbQNkKOL!8pJ?8rtqUl|OPGVf(9HG~*$qxOoKKL}S&4VvZjpEp*>go4X%hP8E zL;D^N-b6xUdeM6oH&1_Q5ZkcGrbjH)vw3Kp{cTVYf{9i?4cko(;n_yzY1|qF^-V$I zGeOJ;DkaUgPg7=PcB~mbC=VQpWNeY3*vmpH=ZHh*wFvn;UA$KDyG$X=qK9 zDH+RZ*;4jR@B^dgshJvpfn{l~AEOt#I#m2yq@QX+$vAxp$)EmMzey3P1o|*j})7qe&e3xHk3lVXs$QSNnw+r5DS;_? z&VZ>kI?w5IB`~ch9`V%O`>#5;w(zjD0L3B0=Mign%9_Lfz$d{ zO6SP?;}9~3ym~=3+)hm*>+9Ge^btkDUAnW?(eNL-vpeX*D7HA^dHxGVS9ZH!UXu+! zGto@;zeuclrnE1d`&_o+W(VK#6U@_aX<`!sc)vS%rj$s#b z2IKWGG3*|xi2TLEz5cGd(K;YxYx0Em(F>Y5NnQosP4W#cTj33+Lc+XM7;Yc zE_V=7(O&A-pXGbWh-S|!@7zN?n)t@mc}Zi2ldf0 z?N@Yl6PvuuU!GBp!O3i>DNXfj9&F$FvKHo zD`BF>s;gWBktm8+a+BwTqzG3Br9b0NFwn1%w_vhT64EX3Z;oOB1xT+H`Jz(;1-;c? zTPW4|M{uWoQG*e04Bc0ZNqx++U97?_*i~2)UE21wi|nKzjYUQ%{S-RO^nRoadiJ&X z#DZA}FVCng;xw&YMq-MtZt4-*tCf(b2*X49aHE#T!tC$H(X={G9P?P*i)6?~EAR|o6RaAZ!PdWcO{E0JN2Hl&IV1g}w ze8sDT`Wp9uh{F>qjY&)@U&;g-6OycSk%ddT3y*Jbzd-UJ!t?lBHUQ>$l3u~HV@m`5-D`hG#WtmrOydB%dYUI1q{ zL>69K*N;XTke+*91$0rDK|3I5a*Dv0z-`hAn;@~l99KK!0`<-3(~BfnFK(DFz+bTV zHo)i$gLt}m_u;Gt%)+jlU|TO;e+!{Ds#fM8Xn~e5zPH!mbSFf39pz%E-|N#?(@nHo zj^;My@BA@XauRc`Ougne^n1HY-T6 z5rO<=S`8EK*<`Ug%yQ<)Qt`j}ak6z-Hsyz(_{QFbyNwv>DHl}JmhxhG$+<4MRcak& zu$wvuq4XpFEH$qNdAgL2q!~r~1xDs*LLQN!QRJYy7i5x?7b#M7PpN0-1GW}+`O|NHiTbj;G~sCJ zuA%mqmPFR==IMTvYDiMHH=b8CNiHi9)J@9rV1tO&z_QK-((6*AH}yH66-w36=sT%W zJ6G8BxuVUx?*+&$-zic8ClA!!Z&Py*v&_w!Wt*iDY^g5wmU>nfao*O3!>{igj;RFe zGZ=!s%3mUGn5O#NRqrO2;a7b7{y%g~0;m`Do7+y@T}Vk;+w|I^I4<$F%K}opKdQ|$ z5MGBzi;3cO>{=Yf(L|(Hu<2x=UBSCwy+xriJprQzOv!$zA*glfoyv2$IT{QCa>!ZH zam5d-zR}S{DqaWfi7)1|&y1s`kIy))(0^;MFYj-gcIoJavej>IBrC@;AIdhkqwD|e z&43-nolM|9eu1Zo<1}@jALTT(EZyX(agP{~4mIQOl5c!WHdw;kPa4IiGfQaBLEria z7pK#oF&Pot&kC^g)5>8bb^56WE5|Qf$Eaxf%BJSD^zG(jJGQ{S^ksGyMP81C!i?jQ zZ^N_hCIiMQ#1ER}YWzuHQ!gPkt&4%x;u9}w${0-In=9fhi$JyqG#13IoJ0{=4}H_a z9nCd9MQ0Ta(d0QW{P=S+C9catH2I;cd)-BcH2A#5vxG6DJ|(EqD7z;x~bXv5qROAY}WvSJhBD` zvTFNFJ5O~oDiPE(L41S=+{%sXorI|ELF^y;qE5sLn5(UYA8?IBmU#YFWMxQQ=yAI4 zL_KM^dj0j9YX0oy>%vzb8z{CESgEdSRG8_5i)TD13Wodi@a*HtFK;Bts&;mihqe@n z`u)+p!Cu+((kdrE(X~D)Pd~piQ27qOJ>08)&x|8j?dQV5`Ju@S1^MJ7uV>?@%-7LV z`RXg3qloNS+{)2cP}|+G^!K;>RfLYirF4Xe8ABK%e5j;O`653f{=u5GuGmy5NAJ{2 zP0IfRZ9tO0*yE5i`s(oB5fC3TqvK|=^AsK?Lu%AhXe|LqnK6Q~mhS$>?CuC0j)JW2 zzUDa?rtCYslkRt;AXbqXHs9I$etu_VuVLadc~v^o6mDY#TDM`Jc6XnNbXe2VY(xam z+TrAEM4)Lnf*QOaBeF@>qb%2CR}1*6TAG~B^w)d+4$&D!dYrWIyy@>?m;};ak2J`P zstKxe?(_xmH$IxY%q0gK`<{b zBL0FYgIwgPluYY}h*2APwD><`y%EeLo)L@?_ZnGmMgsoEHpS{31jO&%UJdOu`cL1H zK*Yj_oX)>`5b>s9?S3OzV)t^q*E@pO*G785d9pr4ywJ0(!@f?IoO%fHW3TYSIeVi% zN8=v-BJDjXb&T^NGNXIEbv@SOM&*?2%YA2l@O>qg+s)-7&*p;QQfLxNC)+Ai`|;->X$-6yRQ^*F{t zOZQ1@eY4a1SnqkBG94MyvETDNo?!B#)TdwM+5Q`z-F-*U(u;Z!2Wt08Urq3|HvBg> zjg-*6|7NGX=zdDDvfeomwX|fEn|D>US9O#!4cPB@UJR1Ga_2Yd7mrV8@wWSws3t&y z3v1l5N&Q~_H#?jX?bc`_)%p;q8Qut9h9e^n*adml6qA;_h(v;^Ym!>PH*@kbAdk#Q(CcU;YPTc6r;9|EWj~Kjc-TA}SI! zr}oXg%n*Eo>pkhqj#Y?@--?%SyH035?$~5MsBG(Rzm}@;YR#W-r+B$Tx+&UQRg&MP zGjHTOYU!+N6eqm(CTg9nSP=c~mM^DQ;f214)Uut)$zfmHuKdOZ175qoBN{smMVMEA zUAyEPq27FZ{L6H;Gdq3W*%7U>MtSL3{gkdDTE2 z!rV7IMHEAHY|xZQc1q9cv|-L3aW zNMOc^0kW~rf~P`vx<}xvquFUh*Se1lalYKm4)1t0Ag(C!<1_#vCoUVs$@bXdW|q1 z8UxY|rvL6j}N^h1zQBTt^)-nPK6xJ10rL zNL5~U6d__A6o?GvZNDf1S#Wtj$KHsO7OG&mh6tK>h;1sJWWDW=C)lV`lzVePnB*OA z^XJW(;#%~z!7TL<+c-X?SLGi)8>!c5CiD8_nzlR}OLvztu_M>!{ig&8rJ4?j!Rn)i zg-m{jw9MD5NI{73>UI+sG6ZT!*~L2iD&BjEHj1(}livCe^Z#Y1=w6*pt&G%H5tD7x zJ4O)|lv+c&(7p2!o^Y)~TwcQjh42q!^)d5y^o5SC`&%Ow;7FG0nF&jdvt68tRz65f zlo&N^^s*=B={qdtP|rgK$)$LgDMX%v(0J&-Lo7jf(D#ZiZ7dh%g16diN0M^rH^@nR zc9Lr2(Un}ivzuUoUV{|0_aXmij85pVqZ67uxU$42kH4ia>GY76YL9Cue3$cw;_eR_ zT90&2so~YaCS)Vb<=m`$zcX|nwX-$p7MIR2G(HjZXZQ-x_E}|{ijIb2~RK% zUGmQRO2GIb=-mciz))7`5-MgHj)5PWqPm`5M$WqkJL_4X!@uBT(&&DT){}RGcWlEq zYP2DP{{VVssmP_>*;yM#R(%Ze!@hQU8_Kty+n6I5C9?2nnp%x_ol_hox)nzK5NsDy zYmX@}^lPkuT(p*GnsYW1{wNAWm1>v|!K)CZBML2?Z-bU%)u?i{TewYX5nK7VMeTB^ z)bXRnRXpRF>d-Dm^b&@1H=UjPM@w#Z_o~mYbYX@g-0XFBd=uj7;S_g&+r>D0A5vR% zPb1u<$hmp&?^t=dSl%?ud`RE&wuhA&I5SV9cI?^l%bCk5M?9a&n>P;O?$;pL_U?w} zV=xVozZ50JR&ua9okLiEr!@Ds6CR;>1rv38=WgQTRwdElRc;AVPtn00Bsji#gNsTk zvqyuH=tIhn+B%5@ugobEoe+v{8yO-8mbBsVhM*sfxeR8AJ|S zHSf>t##w(RPG_{kH}*a0xt;Wz!3|lQy%5Sa(OGR)`|%-Xi&p(@7-xcGZL2n3tGPL= z;Kl-$-^tz)Z(MFkHM1s`&Zb9tvk!=1&FPIsxcKSx=2)<=4q)Tf0g%bD)r&v{>C z#d2^yyOq>G#UdZhfk#J`Al2uLw?rYtb%u;tQM61;>m!&V zxAq59rqoApJ0ei?5T3!W;n;t_^En_N(pdZt8MG69$k_x%-7+(a&Mpb#lSE`_Hh0EQ z>cORhg7BatP?Ej7QFf7nnUnF-p~2U($JVtca4xeV0w9@POWEOqi5bFatdGj z#{dM=>Q+5h;};JOR+>>CLhrnf4GSR)GMslG%YTS&IZA)d{&^mBin1drjXnodRd0!l z@iX6CQFlqb^3CGKOQBWw?+?=V(+D?S>6JLHNGP? znjzj?-ySGq;1-4q7>!eP)^55gUmTWKLz2?;zRLdp8UWSw>KE3Z{IX`>maU>Fu2Jb4b?e%=8+*MvqY@UFVRex!T}{ z7g)X@Xw8B{ADv~1lM_YRj!0!GNT_Vnp5Kc6&Ty7Q5L9^|f`*v?&N&HW-cWQ2M*fmt zRSOlGgs(p2Y(e@vHPqwL5fHDfn^vEz1*G$*_vX4u2O0u>oy_&wUqhJz@(<|{JJ!?k zy^4%wmCOUQTi+a<<3@a@W3naJXQDa2y}nR*<&!^YKIZw5YiPP~Wrhj^oFHcz_^9zM zS`#^_cWPLu4qB0#}=dn2RNh? zMXo{R*L67PUl3%b>8#KwmrHjcDuDi^=Zlrzu}&Fd^51FalzGpC+_YPeUmwgv*opjZ zc}rhTM_tBe=a|vjt5{Gz3N4aRWmhgV=YEPX#)>F+E6#w5>dF)=jc~K8K|=VJWYpRC z?LH()t<-|J-&I?Uv$4xH1fyIP=bUC;a&0qIwqbwQZyT?4hR#qIMwV_|MXqOrV#=KZ z?4?lfLQ^eC9?;q*KIBI|1g=R}zlOC{ddtxP$gXfRDfN?Ujy2~Bh36Ft<8FhmAiH{% zQCeOEhtjnrVMcf{p=LUB-}^b9)q3l#aoWcywB7ZtcsD82g%c!9t{_ch``0Tf4^=IFD>!b}NPmAucYp=m_|DF^IE`M{_ zJCYARCQT?EvC}NMTNz(914JNQILR8!6Rz!LE~H!oNwnYXY>&?pI=095vynd8CNqj) zysyztsQ%3xHDcfXMqFY|$yF0VcWpf5B!37DAfa=Yg|W?KhLa-`%S;d&I~~d5qc>{v z{3~fjY9UDLB^3R-RQ4PMhGa*M)7SKx*+c8E%6E!`9&4w;OJ8(s?$24Xw0sMcQ{+$^ ztir9ule&M(*Dsv&u*LSc8)MOV^KNj{I}}~t^W1I6TcA$0%9|^p!gP%0HkZCr_no1I zmn1S+YWPfAT%$eg=(LVdLZqfkzLM68?=I&6Pe0#6S+PP$ z!@re?NMiQqsYUb~`LcQsok&Ww1gWm1vp95LETEB~o35)!9oSnT#0+b^g|l_a@9bGu zytyIvb;HStc^g%@Ua7(OSWj&AN);75Qs^Bvy1iuI;VE`}KceN+tdHKuc8($)!APNm zNLi3xLs~tMCLB?XOq0(+Cm=4PCSmT^(1IspBTnJ_TN(O0R0+8sWT}V^L0@rrH$5d38aiu;-plwT3>ek+?{0QE>)g zQ%|hovA}E+cGWq-#rvp@GA6gUsxU9ZhMhtm5Z(#3d$ViAqsbu^tj8wly@#&i7RBH5 zT@Z70Cs#Ep{qF6vTN_GCvCh0IVZC32g|Yp;$zGeT8ukMj-M<|3WdU?>agbJ zWY_x3S-1?&{@y|v720HpETB^y_VrnB5{>9qN%bLUaf?5PW#(4&c>;;Zm=EZ8>&hfR zIZ_In{1~bdb0C@+N{GnVsJELwO#l=MlSJJ~GL6t;q#Qydqz$ql=uA1Xbkt;; zB1%BqMj~^^Uvd2(m;;L<%RM6_LzPu!+GpuKwK8hHHAnK$ zu~`bpXLL*LWH;-_M{V-Tg5-z*W@?QUDWT|xy(MSKvPI&^keaz}%CE6Pe$F|b)U^wV zMoy^`wN_|fZ&2G^gqPqM0b@Xsm^a^=kAPNAiBdzlIAXO64Fl^J8B3sI2b5gAUVEVh6 z^JtodI}YK_cXp)eXjsAicI4P^lAD^|hYV6hpLWo$JR>&Pg~5J6@f2&c4vFFn3mV7W zcGJ1uXU)!drCrNUZB5YJ-C~y~lewf#q$(PyD`xEje*mdwcv2O1=+4Wjn4BZUzwN%myK1^$?2Y z0vWO4jgbNba3|+1~;k!BR0WZl>6B z#gdhuyZD>?wCNr~iAmUe=X93mK^8Dh8T837Li}&XnN_6zjS^*#Q;^}1irisIk+??n zPX~#iP_jOsSka1(5~|0!sD3>XlpZNL$e2=JRgrXwj7|QzCb&&Nuu2{h-1eOHS!y)D zdY3?!=H46bLRg($yu(3^>S}gtcI;TG*!W>VO0?)K{}kEfGX>)RoS8b)=y|ieMYG`` z$NdSFZEA=ff@AKPJBnxIcg`}n`9>mMfC z_X-tymA8%>m)#NNyJghFYf9=l81m2agsyyr&|gP@;-@2PY9|fv)DiRowRoxPQ7yr0Uw~Ua@Am>ZNntM(7l?_NC_MY)_*Ff@!Eo z)D~H~MC!OO3Vh!9mZWE>M9yeaG%GcLw*Gz8_^0v~jDg(|UXZN#6>sirqE+fpT8hXv z>f~eWpYP`)F#Ei34#{>O0hL$pNvZo=@jE;He5bial|kFu9fdk|A6;e&`Ca%e1Bh_(h*)aaJ`mB2;vnjN9IfEg}j}<~ak~~ji zlXs*@{D9qZ_qe7t9UHlLe^SKO(zBSa*&7a0}ymgn2RlT?hyN^6;O)}yRuhwuqVnKWU3GScQ8oBFFA*c$6X3W zxFFQ`#ZI2pO0!l>KYysGQlv$VW?|;V_Qw*b7wJDp>`1V1&L1x)>KuwmcPg^{+b-7G zqa%p3z7UC3Z;H-ce;!q*z{Z*>PBWfJ=Aae#na*dqwbqbY%9yvkbZoSX)HuzA7j#%h zlJD^*FDdV5wpcrPN`??$rno0jOV{2@qi6MZTBiEwnQt&wVwajZ!lpaNZrSpWSI~OY zanZdaD-jwbf1c5$CW~Ne=+~bOy6f(8PGqkfsmJn_IXLfndqk$0TBht2v-#P04mPO@ zV+SdL&>W&WAKbbh(u+i?iRj!)zw?dAzEjB#`v{h*dT^R8uY~DnJIdKubIfSRsgM(W z(D(Bpt*P&P-ePgZ?2c_Ir}MriIu&nn4NVvxd+M;A33B!a_htH4&g?;fmHQ>spUT&0 zB;el&&d*Di>F5-uL~U!=xXU_*-deX#!Lgr> zXvaw`fFdf7bxycqrB8y;f>$!9F8uy9*G8NI@e`>%p74e{lA#EE2v&htS))lMcOwrT z$k0d$tR7wmIT1mV&hFt1XCQlv?+mg8ksc1TMErRu7LgH{+~0?slSs}VTH*XFfw@WS zs^b+8e+%>6R=m)2%w>;yOsGhux4aQq`VjVB>53W6^JZx8Z1FJ`jk{hs56|119eR19 zOW~a1a?&nJ&!gJDs((r>*Z1o)%p!Z@F0V_WRf#JPb{pR(dge_ysOxE`nC_0zUx=d%P_oPspEuCmmg$cN*6F3eA(}Y zF0nERgc1lON?khZDsS1J$L#%woRh@6{y1mkEuhrwU9+DG`9m(p^jQ&EI-Mpqv_C1C zJ7FJSQ}$jshz+H)yIM*6hd}$N>LJB>Tj|e|&Mo#0=b3}4{HM<~byv4M$a#;_=gODs zze5wDbj?x%=yy9_fvk9P@7`Hw_O$#?4t{02$|RCCktXs!z1)|m!_phOT+bDW)G5DH zXQ=8Lg|PJCHjbtbIj>QlwDEDQy3K3T1B634Qe&5yg_qo(WZoYq!%|^{fE616(V{7?7p^ z)LcsME<|GLJr5s~>n;-^mUTemt*`jXFa31h$G1S3Pr6y*^6*|?jJzhlL+QmgJJs4( zFT!E%9q~kQS8oaO;<{;b{;;&SmQo~9+x*Z+7tadZN^0Xki1>*gH(kmr&G2#vuobx zq}&GKR$#&Npu0zj{A_alDx;0 zu-dC}o+icX&vOnBd7h1`hL7NE-lE)uz_fStLfb0e_HJ&Afo$E$kA>`9I*D!p*%Ocb zv;29eUU(idl$^1PQJW`9ZU~Ne-)=wl+lv}P!XJIRonYEi$x4LD{cgv^dltUYQo9yC zJ?Emz22GwgM0oQ+q6-*g=-t*=gZ|yE{aoWbv>>X%$KCddu}SxuUE}BW zpsnj?Y|Y;s(V3j}d17~=Z}I1Dq1wt^OFnBsnd|lr2Z5-+L7iTlFMys6ebTeH$2-L- zmp4aFH&#fhayK?pcqvUYN4ykWj|)rCvJt(E9{8j27E z|B$A>CM+K#7#La^`UO*J5u6ESzI@}d^lW_F#0~Y!`no@jO+lDaOh73390>KuHBKhOlOXkRGYGG{A$M1%+fsigtvDA5EXXxik&Mz$>G51fuX+(tU|mN4 zf_8RvaTtJa2}b4aaJ?dRw0$3? z`&+ChYEyj9481VXLw zHHXBnK}HYHReR;9F@MF~N)`_cFFkzprp$^U!NUZ_VbKugc zi3n*5c9kyLct+A5cO#V|PYR`%&rV5IXY}m7{{2AOCaiVzI>q}*Sz=tU-6nsh7I#-4 zqc5Kw6!^rag}BRkevFk{w#!(g7b<^QQsW3TYk5*Ld9P|A7kGLQ;}2MEJeRFJ*)5Bo>tTAk*qm%55eL0c;Y6+tH?P&lX(scxNM^zXN-142y>iZ6{PRjKkQdZ=qC<2yU3|2wU zzCZ^dEMha?zIQ13szwP$)Bw_+`-7y&Q4-bt<;3isC8Y&J+5XN0de(OtC59k3*LHqH zGARd1k;Pv0+sHK*%=(am+`1q=s?W}<7EbdytbOf6@^2IeTIzn0sz~ylA%a8F(QBH9 zH5g{Jx``Y@_SzNB{i*St8TopX&iUnBOu;a7c1yV%0V~hTP=_yLgHhbsV$f{(i7=(e zmy9A+@buMXU!$`t<1WJBWd_oljsSjgf1chnnnxR0i~uKHI9Op5@eBtxlDyN3;^BwD z@+;Srk>W{P+Y$*z11xez?MHhW6UW~I>7%XDypjD2p5=#^ts6PEBgZ|}*kGQXKP3+- zHXq$GUWv&EBPTk|JkE?jcd&LpWF)oV$KE6McNhu^>H@0t>6>l{k(aD9>w=-x>zJ@RnXD%3_JNN z;auFV_d)jm=M0AYKc2MwSe==EB%e`>DLupjoF9UikNggFLVis;b1QkXvYbs}Q${IY z<0nz}A#@18-!Vcszh-I|<4jf%MXArbyYrsg$eC z0R+O)TX7aqlg6l4KPvL+KR*bxhHO9>y9H+)Za}l40j?4DKdqFdt%MypB|@5=A7TMja%_;X_J` zHA?APm%AZ=cUhgb)b9}ZPf^>N+R~bZIc~)o4&pNn_#2qKp z>&cJZAk|u(zRu_e99q-ZAij)lyfl<4k`!)m9cg~sx_?^K)Nf&-pdNOV%Uh(}fs}$p zH!DJYg5WKBM+i)PQj>M;yy(86EL!Ry$y7z}7<6k7DJg0Vv1z0_kW`NENM|zk^O*+C zc+mk%)t}U*)#4n5%Lp#D+Oc^(EHu(OI|N~ZHHgwJ=~_Dbck-TMlhJrcna&Y~##WVg zitpKigJ^c`xkJRv5d;^NQ7=trQ`kv#73l}0XU7oeETs?mtUv$iP0Ui5$E?>c7>(49 zhpaE(PIX@5Lzc7A8)vHYFLbN5@Sc1shNRCG>*@B&3!{;B^m=bO1Pa<0TKngT(|KPd zdVFjjNUuMH`a~Hui8G%A@@B$XcXqy*9W=wIctMAf?5?}y6dw$OBLmBFQ-RVBRl`j zguYO?=_;i?jx=36)_3bj-OAi&G}S)pvx^BE<4B%A{dlGHN!e?HaZ2l=`&rm+_}yrp zmTr9*=>2Zz9Y_axHxcj;fow_n0%kDtP(>`PXx(xKtPuy&#Mdb-JBs9WuiB(Q5OmWb1ax zf?#IFHCc4jV8|H3)b-mvO}o>LQdd#qTWbOob`cyR_kh*wVj!tn1j3 zC@p+G6Ul|`@01Qv`Q{4T@{Wut>Q&Hq24_#F)lishP>=FaL$db1ta85JU^IdQTz&`k z8y|J6Nq&bzy!bU$yMSq)+0OjZ(YS7c97D)wwD1-mGK0%t(N8ZsA3cB5d5cIRBtepM zK)@EbWFMvEYzGh1@#KY1h!558mS$BBBHM}`Im=R!V>kNPQj)AM`ol2-O6Fu&M(M(t z;c#h{*AT_QxTfkCoMEmEX1E`oVA7^LnBiuUQ4?&Z^H@^0CF18XJLd(7Etcs03eLDLtb+Y<86b%xQEKDZ z$vy&HO&N7UR`5g4sV_U9Rn9tZ9+A=?q>tdH!zT`e&hlX8Jd-XN&qfKkb4bExC!BE` zq7Q#Rlo5+&9b2J4DT!L;w>%cIzik9d|$jl5v8h`{s^8y!4v1VvT0)bEWE{ z#kY+NxjYY11D^vcg%hOoU@wGSLheRuslV-WWa%7~7VMuiySx*lQE>M8r^YHM%$Ia+ zJKs}9?Nhl#&#t%}=@P$YuL*mnQcx~jdr+Xp-ITkw!9eAsu9j~Xqz0Q@wZ@f)K(pf` zSlai|EbHsfgQ}-6hLSP))*FgNezx5G%zu z!hlMrd5qw^1{pQ=2Y$Dq9x{Td-WhcMl%-FP;+GY3@YAVIBXo5>u1YPD{0`+?{uY{Q zqBUcg^51DqR0$~S@t%i8fABjO+O!PYhtwtcZOC4nql2;psZ*t+vBiwm-hS?uou9%G z(eX58`RvHgelQJkq)1m8h9m`tzjGl<$_B`tKUdzac9=U*0_Guk~ACQwsz#ML~{{;|NBc za`D#b`!y!HvSnItwj)LSEELh4N&2ijQfh+q*sBTzm-79+mQ5)xiAT`CPu@v;13!ZxJR(^hmpq(RUr;x8?)ZGG^60>U-3y!hvtgM`~dA{CM zhGm6N#pGUFf!{^ zdb>pTO~D~XfUhVgEtN1W?k4qb4JPP#Kg6Zw3_imN1PX3B=b#_-5kOlc&qEchj~b^| zMr|K{yq~0VK=GoFjiXI1uH?K@#L|nt1z6rwrV^b~%Pu%&&rYkJD?N?A zzZE3-#D-1x3`WjKIn6PZeGVutl528s5FLc(3blyCFDW$d2*Q>hBdDrdfozidOy_ro zL>3@WD1mh4(WLUjBY*{MNf{euPciEAij=)Q*O(p5L>a-nK6*J$n)vnh!UBQ6P!Q}; zM~c+DbZd%=x6q|qAAv$=W90Ln>a~>=_UJiEr0NLS@*H1DZOV~LMTT=?l3$l74lnMm zsw|vj$3IOoo~JeCA3Y~<@YI*4^+;4N-&3YHWg^VSM~>ZPBJRCUiCqIuQECZowwD(dP)Sj^m%qzw@FW0nBn7j&fX|FAiBFyB<*oNj$EA`N<9LByhhjcDls+V9}BCNqKolYR$34M?utm+`8g>8u`B1n(8SS|Z!Ph2CIv90A>5bEJt*uDDA zQQ{GHd_{>s=ItE*K7wQYC4CF&zEdb%br)-Q9dKspUX>$XQfXS(wXhGn4ZRpOlp{;EZwk87p>c4G z0Odh1&Jc(TtC`h`x=UPxGbYH`@jC_0l83-X8afYCYMi^#y~N$NekJCuMw~Ad)$+kW z38efe_MB74vj~u7N;at)bC)AOOvTnJ8_~R3W$#7f@J0=P6xL|=NFKApl~H7cH+8(X zs=w3wU2knj43eUu9MQZs^(E#|E!%`Tr0I!Ba^sggN>(9uV2@>dTru^30rhM+9* zIqi!m*LYpQ$bt@{)K}q&^oZGwEd6FEF5I~Cu{)G09}<#Kr{I4VX?R_6H<&#H*)=pm zX39T$Q!TtHI$*_yMNurGpcLxqHG4+{kg_L1=%SB!{7=sHEatF78iw~Ta%1>I*^OK2 z{f>HS{F;zxJSn2?nu)BgH?@qWQLZ6Q=4+G)f@DdpU(1oEd2|+8JSnw7ig;gvP2yE#eIt%U{9ATdCOC3! zw92a{8dPzP)Sr2yP-8cL*Q;p|;G^qI0M zg%K?Bs3G#Ls#%AgiDwn7MgXZZ?V;(^w4>KlQ+$mQwN#CRd8=CRUF5{*vwD56tyi%E zOxn?(6uD}>TMs+LXo98A0qXy&?jr0eJWh&?w2{>c(pt;`te!G9mboLi2=eB3Z!x21 z*sAP^=(T4_af78s#wuh#1d23KX*>=?imhOXDROo+Q%K)a_x6UT4;r0&m78!KPdB#+&e^xf?ykc$ojERhH*hTa%>;1 z6Y42eJbb~VifV=`osZr6SiEY2vOTGYg8RbjHmv0G zAtkrC+nG>RnjnhkwGsRqsmZF@bqI~gP%Jw*TyoTH?EIrxS|X>1*{=E+}}6c z4pio())1Ore;#;OnR~|6}coMbdv^$g9GTJ>+e$F|nQE7e?Ka1pv+Z3c1^cthka%5^X zDmm<^PqZHUhqPcKWEYiwrfyu+Ub#qaTJFX(+$zMS)wLFpcVuepX%pp&5lqQ+aR5d| z$5|l4*k<*;9?_%@Qao4k7*V-c&M;M>V@DMtgj2HbY!m5lr2gn{la}`poQe8T07r<) z5kd%pU{gA>g(LSMkoA8^OTxBfCrc=jwWRg_=M#xqYD#Z}`#D+E%aJDffCKN;Eujh3 zgQ|KQ-*W~sR5{};_#JoKO-E2dQHeeP< z2Gtt=q$~1MYIoDIGBz!X#4= za|Hg|jTv1nQ}WxW-|%A)s$I=P3?yy(9} z0lCc1-VrBhs!EbF9z@aa$9+sqNN~j?XXIP-@gzw_>g1z z3+FHN-mylHJs@ne6>DjpEL-BAR z$NT@$h8UqbN$g2}2iGV&GNrToSW-RS=`o@uUNssls@@@nc!zZ3mAs;Nj1O44@u;`* z->FarJcQ;}zozA-YAB=^Tov@}NMZ@Kv)zUACI!Cj2Z=fOH!{PoMYmEF-|v^1Bfh*8WC>sU2aM523OrkmJwE>>!Eh z&tpfmAoQpN(siz}So}#%!ssFNZ^t!VS*{z)Ij&jKjmN1yqVEtwV^yn}p*c(un7jol z#Y3BQc0EhF_3$`CoAyI;iJhD(RoUZdIf%6bGr|vleAJ~u(jmJG9AYD`ArUXg^**Q; zlbsJ18Q)##`x18WUT%dKl~S@Jfy9?ZN4xa7Ql08^fC`_?PAlQ*8Tm{Rgp8^qLwCMz zog0o!)$QveDq%LXL2_ac>x}+WI1YsmpVsI=rmA|7)(<_`Oe69|;E0Y6*pjv18L7Re z^;drobP@vDIsGE@Z-lk!NE2UkSmZSB)+Mh=sqj^yEmPIfn8Xwsd`Vb2hxHIXeL(Gm zJ|25$BoZf=zG@U9e6GnIN-uWHp(>T?)*flf7~^@)7b_mvL3Sv{wJaT@2YI@e4Hcw^ zpo<;X^r_EtCN9S{OX|fCbP5&<1kCk$#^p>%q^D&L;3E!ozVn}q08We0(}TP~kXAx% z@a)gh?>sDs_aVrS=rz#i2BNwmgAU(N3CLR>HHxLcWWHsZeQxTbB@5x^A>Wa`cb zqfzJsjvvox8e{B-;2=*ydMFSXIjia-Y>&*Lv?mq0^g*s2T7Ty+a!n~E-p^D9E!y~7 zkGoM8CdgH$OAz7-Qgeyka@354NdP*BP*ZJcIdGJO9_9M+(a#F&JMOksab%*5?bkrO ztojR4Gq+(;(Rt%L@lkKhHxk}Vwok7!UOQA5G)j~N> zlR{M&|4FnV$_)0XT&5^YkFyKbP(q~0Ozw6TQfLm>Bpo}$-o1~lHmM*QR8yz8=I1oS zx$>1r!c(j?qMgCO(6UrSfm}_&rtG-`-{|TTSBdHlQ*rU%!TQo`wpY)fvOQ%_g!}*L z9WLbp;VcM6=B!#v%MNHqR3#Wqjdqo}A#J<}XJx!ObXA3`h4~GH()owvtGYtll)P^>W84Q*CCcjaz<=U6)!lRp|EJHBAkbr+-u_e^HoMUfh-YQu9V$hbPv#uOn4 zOjC|j;j?4g^T@U-RZ5)in2jmay!R5#1{#yPb5WH9LG(j-$ zNW>u&*6>8+8ph+-^rCEk(5QyO8fow<2u0wIOy#pq)+=Lz0Rf~`Yn~JQkYRcK3gfaA(MP8`{m!xDKX0|Fz zN1%m%2rWcDZ}j#lQx{}9j%=}r&D@DMN42~B4m>-4jqz9rvaV(-Y^1{O^|-_?Ct44V{7 z3P)ivH8w}4#5&nfT@5APp%qb- z#e_dsAvY2BLaXNd5H>p*TkD~DUr{3$zCo&weQZ!)3a{ZgHACIf2y-7Ydb&(%%EU2E zHp%Zs4_{X#d?sFkunM9z8|#2pEtv!QT%CPwE6WZY^JLaI7 z;KD8jCA9H7ntfr^p5LceC!gw09Yb-Wh9$j$l=y z8ZEwhL>iPaw53q*#oyMuA-?3u5G_+wNqc-H6#Xd6=q^{S6L}ax`VLjl-b61HW>W94 zM2UAQn`xR?JD27Id7c!xP?T$D)$eDFP!c^KlI|fmpFTFM2-!od7&Fe2temAdo52HE zq&IfLoSfopY*lxc(7Lb_{g)dRokmBJc%iVShT=T7i|&@t#fBwRv+i$j(2cN>iZF0j zDusLmgazbDajtgQZ^}l``Qa>yY%Wsrwf=GfM+cX*bOo^w!s zeh5m|ayp}18UAUN&MlDGcMyKBdd+d3{dt;pz)s8`-xD*ttym~*`p*;pxrXLNN3tlW zpeA0!ucy3GMu3|UQG(pncA>F9q8@B%Y9E9aeYP3_K`sMoPCphTBZ~;&R!wLVA9erK zGBaYkP|@l!JF*ChoR7ofmZ+=<0+)azL+b*ttGsKLDCp{Haa|9Ln5xbwo_NG=cU5NJ zIwIv$3RG znGGGi?7f-ND@Rt6s$_aiq7&LWK-4Cul*Ex#)nA}Q(h+N}RSVxoO}1~z`IAY6dv>hO zruv!$RRd8yBfO6hBYfocKBQIk+UWU?>KWycnF!9TV6min`XXkEN2Ssb4drRgJzg(%E|M>pO2eodM8H*I zD4ZryeU?Sv`Mm29{mxX=$xd<3g5ZR~)^3XAn9=k7smdw6#Iw0f+lvQ`ELI!;&A9@nKi-$5>iB+`z`WliUVBU3a1XdrA}J83l!a*ZuoM<8Vu zBnOktI0ni7iSq>u-@r=Kcw&o=L~3k);+8FyTOTjnj>pR?t{+Sd)DO$G6|Z~MsRGwt`X;Ra(jg_Myo`&cfU302)roIcFFNO8~}0+ zt!dHoKs4?l6buB?md433Wr7c~XnmFCaCaa{dA6xx^|6_n*F!q}j)wHD-qbMOI`6UJ zFp-QvyR1Q-njb}IhIV93%>a8qgulMD6l`VGE=!f$IWw1kB~(vKEgSx_e~r{{SIxel zN%SEU7ili1Mb$X3Lox!(^e!EtF8Lt{-NoI;&@2z6b-{@_lu4S?)oZr9^&Ve@Ziwi@ zqT^ZfSvA_ zq{L}2$vqe7)4kRjKU%b(aOFwso$j#@)FQ>9jlfbV4)&7!o2E`5(t(}N;+OOZ`l zriS#cAt@2p^p&)l0mmz@IjdE3@3)?uzBXB-14APE+J5S*cy;l9de)jomO*?AJqaVr zL2B{JI2`e*NRCR`gXms$W^v6xP@8@ToQ2WbhvEB>O1j(;e6EKe1LLy;v4~ugM|6;P zf`c+U)@tEMZ(2{0=88VON@IZ_>2jl5FyJB(eD_q%aWa z|G~zp-X+(6Pe9sHV@9#Pq`IlV&*(^zyv%?-e_SC2cPQHSO5`Gj}|S217*ci#g(Eb|J+ZIxmIwn5>!%c zh!&Ou&W6-EK(iQ}rBpl0HL#xgjN))e4ei-ggK5>0>JRBqJUhbYEl7$AeHw$LE&!If zU@E53Nsu~&sjH1MXsP^#x&LxAw4aJ;lvf=|qCw7{++tN>z{xd`oA}sx0C_*<_KGxw z737+HeRLQl^eyDFg2ULFpC6KX@PanmurG4F?-E=S90bxMaJ=l`S3aMk*kZ*b&OU@d zzgEuo@J|WaNChi36JEheFkRNc@$&CGZJNOy#qy+%{H(-+^&cZ>dS;bT?mB2?iXCrs zW5I}=M6ne9pWw5Djif5lb9@VMe8}%m^$?86Lp;5M`SM_qa%}RY9XHIAK_(g3y%Z$V{qivRO)wpm`-oNp1x+qASvy#1S9t#znYv z4$`8MxiL=Zj+*l_WAixLfwV_3^JFOa1)(Uzkv??jlGe zRt}Y8Z0xYSA?el;R0Qn;`I)!#PJ?fQ4M~0n-s9jp>iSMm8V@E8l8VyLlxuL);XTQ! zqTlRtG81_h)R3IfutgiJPEBnJx~69QPSJe_@#-DS z%cv7QWFQ0UNc!mqq{Vv~IcrC&Zat9=xtgtbwvlk6cJw3jEVY1f}geK}I}EJ2{% zS0d)9SnKpB2lE)>lF}_%qLu)*X8r1q1L&2dw1H`*Il8#M4p>Fv>7hM4;+iHo33_9r zQxF!hA0$W2jzqKY@9agsERd=l*U%;gkMmUR4%3?S_cOXE+tc)sW9`6WD0e#^P^<&2 zKj|FCD37~ksl4h`tho(K*N8ClY}l;*N#T?og4F2lsN`h?;jj;mX|~~q9NQ_F2#;GJ zz5iA-6TbsFmmoRz5b7MXcR63)6{DDvzUs5Af=Rj!(aI!T8)xwGG<6QHCbTi#$qCpk z&*NjG%s~72d&C?no{_Qw?8krIlr08-D7fir5#{s_`*W<``okWDWpw$k&sHOS{4lZx z6XBMwCDU3em$7;)!dnPd!O>J93YML?Tl---pasImcFsxRC|vZ@`dc9UEn`E>%0~@} z%R|mr8(eO%_o$|m5fVE>SMo#HqWt~jCjIDeXN*{hNQVkf37%H4a&FbA!b*&{kbbxj zNxr+a0avByFHG1Aog;T|)j*ngUvA<3!+AAL8{U!jqfteuFs{M(zKD@SIHT*?*i=@uc&Iod|nB7{%!rS1qg_y`;n`gJNl48C$b)1m8fI% zQFENiHGFFReg>pN4&0FSn`Z&oL9dx(Q;`B|8$!G8mC@7=+oXRIkK@ z3w{X~6~UHR2dxMnKAwC;08xln=CQLq#0aY9(L3@kIXIb@RCqzyzT;aUIi{*#I|rY4 zCCnhm&C^mJCVVx}Mh06mo3F6RL5Uf6%M$lT5-l`?5G4@K#RlC{j5?_uaz44}0>+1( ziqy*vUbOBOBzg!BQk99&^qw4PQf~=8SPFjsvyA0iXmxs3KMdSIPg-vtqoDdH&jUAa zu!ApE6KdqW{BB6mE8k!Wz9*81vaTEDmT|W_RId$Y>)ysF&$5P?p{jRV|!L!lZVPh>vD+c)~4A1U;@P(yan@RM>A2lc>%e zmYI**(uEH}ZQ19VhFtj_D_C}n*?|gIuA##|?$$-47Y_Z17SU!Q>pGvk zFTNiOSUm(juDB)(n-4{hp#);02Er&#`;3p^7|p^jmeJ$(m5AJv%;@>-JtKgcr84Cg z=$jp(li(p%Eagb~;TpH2!jYu*C@!4G4>E?lJP(y*ehr4aJWslo_nANCLPX}h#@)Jd zVj7uKAh3W7l3L7l`B8dJR$uD~l5-CkSFa$Okt4`4gaVmcwec)C?#K}B8)_N$MXFT6 zAA<`n4o9-SIV7Uv`$3Lg6go=OIHPtt#QR9+n~A$6gmfQr&ZBtSXiclKNsVk$PE|UG z(crsJni#eq(Yp+Lm8g?9h}3*fOeU855C{>fBSW-IQO%1z9%UBM!rCTZ<4BX*qVqXl zEiCKEkZVZtFGq@4w`kvl$6__cqC7))Qrs;|9?*1o{LQ7Gs3ClXVQmV}eiVqNMO1J6IzoGiDE2&6 zk3`X(Y6|AyvQAb;BFK5C-+F`l^GBO#crEoxgzT2S)2Mb#2o329IR~oG0VauFvqPcq zxNKz!LUWvtn(t5b8@nqd(~GOhbo<=yn>S>!RRO}*_52Vr2Z|8ba)cIg{Yv`uyHVky z*G!M%OxO?P#7Gtg2}E_5ll2BTQufbxTD*^vYxI;!)=3XxTcoy%Yn>D2wL7UwW# z)RGftNAev1L=sA3X^=&HHWIpl!WYmH%>KS@V$?~ZpjM;lb3pV-5E4Q$*G*N!`J8qR zWb1CZgMoXR)TZjW(kB;hZvUJ=&Fk!tNp7;gS&4I8LyL=?fYT%OMvf;DKy-$7tg6z~ zrf3x8I8w8ojW~`ykojW;6lat;NE1y?EC<&-IY{4nH#lx%1T(9$$@yE%^?9kPjx?9o z?;J#{Gy0dz_wVP2&-E|?ChNIvbhrKmAD>Otm9cky=Sx*%>$LL3 z9-p)V4_iAj59qhbToaXz-310Mv51YP%8?~COsMJY8rR?&iA^3|rp61bV>2~uM~-bL zcKq1_Vs_9162%evonsfPstt}5oZI9iVkAnyDK}yS?Z+#y5=BS4sw-oWuee58>pCJz zBX-NNMZJpLY>p93sa!PQ?9Rbb{a|_ryv_k>OudHwQy*J76R$pP3f4L}D8#<5ZHD6S zS!}A8b3Ej75z0#*(r+}JA_Sxh=8K(@qMT)@o z7)X(5UOi5aTWL^jj-OGd8t2@xiGN}NCB6kS%LEzozHMj@WUgD}F_IBs$orwQE!MTN zX;)a@1F6cuT~l;}+a6MMg+SI7d)3gm3(3&fL-JJpdgScxK00L|JxEG-?^RVcqrVQM z4t=MozH@F(RUApdgTdLT+`=%OBtM;xcU$#$viq9nh{WPiq-KB8y2VNZ$?-dcWOWXr z>UBa{@S}>8JuWsW7M?%XjPBQD!qhry&p=JmdsFA2cz&A}pZ=qEQtJTEj`> zltyt#`o!JvDfMkT-v&t4XdW?ueXr5k$x*cjGWE^n?%ot*Qx1J-{7PIy@1r1edpLrY zwXFEv^+a_Cb4miyWYfOA$8$h7iW#; zois)q(QsDpRE-^|JpC=0oTVVm^H~$31x4sg$nllvx+e9};>$Y2k(f8WtIq+s0#SE4 zCtZxdy4D4$E$r)`?n2Z*Mp)~R(kL>$&uCinvdqB<@8i5eIDiCME8c1QQT2f7DD2+$ z!_iKSa?FA0#t1^f(f6IIx{8!+#@>4l4~co3fPEnQN7*DbXAGUNKB^uI4NX1*Y5*lW zhVE-zQ>2otBbygrFvWe6fg+~jPfF3MTywV38OV<=4}`4SpA>gab!^TE!v85!(QcWp z6rKbYx**NHcf5}uwHkV}<6M|OS}|gi6K9j0UQ1qOfLAcZithN!VR;u-Q)MBkQ%YHWR1uAJlF0msxXaP6qO@J zL#yxSS@krY~TG%}1r}j~Z9hm?ae2(hqWfXJp+E zkSdF7hV*!{k2a5wQn4VGL!*2rMlhG=LfcgWNp&sVm0{s?YY_S#-C@2#` z92raX+Bhcyp|MAI%h2qG5nYv=Qi=*zTGYgjCmgZkZu{-Z~Ui4toR$t&F{DDiTnch!!fHzDpe)=!ne)rCv6VX3lK#GXL*)S87E9m>3G zy#_bSzj~w{R3&Ri*99Mi?k)%lmq7MUb;byVc4~C=WP-lTzXjSdwF+cKg%_b{*$+%A zGe*!=Pfw~%IM?L;49nL!Lc#w-N)II}Y8YjW5_O6FdTibOjknbiS}RmP>uOKa5-GWY z(XMuTq7&dp3#-hyTM@SAgRo&cQj2)IgSfKK=no?@{$#KLqlKc?K#*j_2)M;VuonEy zk>rsYvxGDKA-p@O)=JGe%BSB(1Bvd7)j7#6MSh{^jx;Ic zh-^jGdZc4n2Dd$F8P(h(MG@C@)g?8%#7B*p_M2)Vbz;jZts|Oh*n4*TO(E? z#kpG3SW;w)(~njr@#dT5$+{nyc%tXpO#JF0E!T_G`dhtus|+|YeiWi=i_FlEZXea| zkw-sU#Sb!~+bd(V%9BD%5YIE!RyHP?_#H}x1R0@6nN1GsA?M?W`h)Z8v=-Fb_dg_a zHa!H@VjlrC7(aElQQLaik{M0#|&9X)12-MGtjIcInp!%sWh85Nk?RTby zFskXYHB>wVughnYo(cIK+^HJKl6@2=op{@YWhxeYCcB)Zp9#Yu=B*%_AjqwC z&t=OANTp!#?!)*a-CkHBGxF%lX))j+_MV~hA&Ev6L6_)s%2Y{cU%|12B9E@ITeL%? z<2uvHCRP2YP{i-BWCgjM8oB=VV~iF3v@xI4!tQWhLFyn+Iv(CkkXb;8ipDbDp}Zk z4l-|TQU77E&s0kVQlvWYAm`+cyVb5LOc*aQ0?K~`ITm_c)8*7;;k2j|>dKmmxf3TX zbv4?tLw&B#PM^Y!dXU}k^DI%SJd3T3sM~-5K}N90j2u6onf7O$IrX__xu^osyepo^ zmTS(}={^RvMO_h2K}V{vOVXbbb}ux#!dy{kMTqLAo>a$%(ytf+)!2fN&vAtA=Z6r? z^LZQdM>B|Gx?6dry|L%W>@jZ$6H8y)XzA8|C1oQqRd?SliJ3@8;zXjxk)oOnh0@um z7pvOyO}lfPGE@14(EnLGD#^}Qp*@j3I-N2!oYLcoG=1D{ipOHaZaGGLC4^{O8y3OY%F%zK;s8^`qf}Dto*I`ZA@X7dOY(!4In-o#FRuG%X%MZYITe;5NaaAqJZ2SNdg?BH`a=a5)jsPF99{r41~b{H9O+-)gm z0_r*UZoB;`>6}eI>Tby&Jp}tUs(AC(j`*|tMg=$?ii`jjqNo-|WL}UJ)&?OURR%J* z){!5*0s?bUAAe3ZRr%RHID3i(Wds?nY_cqEt*ijI1F8IS=@>~s)L-LsJtUXV_QF;E z(Xp8|D(7=n9t;Q>+-G7-l~V=@?;h3M^@nExf>^I{hT2}Z86fNoq^q_H#2nEtlVK4T z1j92BRZxLwBxW?zF8>gm>KHXdy$_jJ?Fp|@*)C@D+y{s<<>LUw+9_FL(Zn$C98kQk zYBiJ;IZ~T+e|Dntw?coZN{MkPIWm4UT%eQlc_S;LS~2~us6oG2PegR!Q1VONW?SodxY1Ve`TE@Oh)@ zTNraFE{d+0qUwC4w4+M_Szpy~Su4f{v7)f-aL&cpy5z)-aqW(+OTXrTX6|;<{OE8P zd2Rg8QcbkkqJD?Ype*0dIjf^?qx2-ahJzxi+kup~KIy!5-e(57aGM-wXhwI3l^F># zR*bC|RlA)8M0)&=c-4kP*B`~cs=7j;JDx{%x@eq_9*(o$|BS7)`09@xrSAu(y66mQ zddk4&5Tl0gQ<)%L3?=T*5e{A?eSAU(Qsp&L*=BRFoOLca=wy)piV z<$zSCa#6MGeN>GF(D)e2s1Ty7k`B>Qw@^w|9W`=)5s^WNd+PE^&$O-Zv)Yko0DK07No>^ zn(7bJ5CydnyX9k)J-(62_>f}`{-}P{s9Q&aQyHgP4u-&#HOe;V;E3Fm(X>#sEu?ijL1ln-V=L=0G#J`3;#~-gB-P!w3jHi*0{C07}#oU zv{xeoW!sO15>*DrniWriHoFqlCU8RvQpc;`QU1hE5Hv=hj0I53<*2UBsp;LIb@nHP zZd~RKwRC?AmK+k>a^D(^G$a}>3|b&b$41a0?$*{1l3LVp5$_U<>wFtg!Aeo3cm;d* z`#V`#TpCQjIgqCORk-#(2PsJx7GA;jx&Uf)mii0DJ2|IGJIXaEtWQU#a^RiwJ+(lF zo`4kHeS192_PUETOFsrO-s!sZu!_Cg;vI?=^3bL2?dGO z>>c%qYyPS@({>Se%gQoZkjC<{!H1&kQPrd2)8y79^21l>cf)LzyY;&xebgHdLAKRr z6z%|Fb!zRtQ)qhSZt%(kQl)BQaD--G@r3r23935J0@WbOHcMSuxkypQDbtVs5Ta1> zq`37yYO>Q335Kf9S5+V7ht+9#@5>|+FSZMfonAR_C2txzr3TLt*i9ZnrFi^KQC(j` zk}=n`8VaWpI^S{44})-~)yn6fZH?8ORaxVkYfCLvi&6)2%vOm~m+75BXcg-B1Lbv( z-|0<9K$6GzkoL3h949g=@Zjdw7_o6WI&$tSbDc_#xke~i;{LQ$1(JXAC4~KB1loB& zj9%N)hR^}$6~F>2++Kbu@&jZAT#8-Y9y(YL6~x7uQ8)3M)|8~ z)$nUfib{~|Ty7Jh5*;-eOP_ z(9q0kzh_B4Zna!PY|YofIUB;hFa2N0N)K+Z?|lPQjBVN&dx<6nGgAA;zJ zW~7TNYt3ckV-K0r6`3c!ATfGD{Rl@K4~tRdoRZ>`DO=8K`Q+Sj`;reHCsJ|sa}q(q)%!o zLPw_f3kYt7CqZ$mbgxm(*#haxCv^Vm`Nm04j4~%}S}q-_(v=At_WPZptZ0)hw>y&c zNzW_wObUqbb;6(>HMA2=X1V72r{}(BoPr$8Jut)qWL-}Xr+0Re@IiK?{`V)vXOTLt<0T9qwXI)4LKDrRJMvBkq0~TzcGg+Y zF7DQKg+R{jHs730YiP&lD_=yE_Bt-I`xsC}DGw7}VZM4XJ zGn25*QvVj+pA=PV#o95Jy`ud*sP^QO!mV))b%zhh!Qcp!yjHLx)fXce#Nq@ARb3EW zArxV_4>(D`Y87IrlM}!!T1$Piq|=znwWg7FeMhqNVv|bxhpjWqmK+6Q=$4o<``=h| zvBD`{_359gR@sR$h8U&x&B4{{+mFHjA{<7BP`;z*p?cFsK;5{Wr(cylr&LzIbN-Pb zh@uH;{i=^&VsHd;jvMN(WTnl1vDWQ@l^MTt^-cZQqc*Yut4_}}t_!)otiBD>`0DZ3 zZEUa!YA0{c#YL6c6T3@$Eb?>u;ipzrLl@DIP=DLVFpUE^H@gPmF}>~T-Y&g7&v(uCL=4HJ$7xn;cQ&jOW)%WO%@0XmEMOzWyJG08)QX2| z#yYp?Dv9UWyRG$St>ugRcXO)0my&${D>9 zk&h9e&0q+!Swfmu{zAnW`$4Hzi-XYYw+>3V7>#&Af#iz~iza%ls*>(rxb>aRN3hzE z9-ah2`|e1~Jq1$i+^!hN8g*tq3@Op$IiXAQ9>OJUm5uc0>J;qadjfI(gZ%{J@14*T zIRg;Vym7Z;3=F*-Aqyc_M7HE&qNcDGi(IiMcV`_YHgZYkR`{$6;Po;b}ojh%<7<=FjG z_D;^D%J#l(I^nF|zu>ejA&*rzQB@ZE&VU}m*_LK}+f+{4s@khh%C(cZb><|xCrcm} zX*_}857}FQF$wHbv;GcI-&Sdb#dg|d)YcKChze$Wqcwk3idF@oys133q);E7sZ{79vK zW6RSlq5XeUvpvV*zcHki?@5!hf8t-hbwcxK0ojbE;If|Mwr(?>X&M{Khkm!DTF^%S0hklX$VAesA5$DtMwA}oi zrXfC|iRQR*9UY{9Nb~2xauiaGO9$rWsd(bJyX10O%G^!3Fk!@cikTkhVm zgX);}1G;MbZl$S~4P=<53gf&y{T;5tMioL_I>ZP4rgF!Q#HYpek$+qhi5bgGMt5C zr08m<2+M7;@tr??cFTC4j`$fP*yA>Y@~!xtk)1DK?HwtebX;AT>5H;;PHwkU_r4R? z@DZEc+r*Cv(8biX#Sj85VU$?|rMuBLYuDgIjX<2a95QWX$NF>m zOi&fc+UU>4GuKnF{qb#kKl&l)i{Bwc`$Gz5pOC9}jQmrJYpV01yiK@5s4Ms(y+vjf zhIQL5`Ouc$_BjuK$P8XKkYV-#VZ+$pp3AoEhcGRWr@3EWy1eRsG+cj3&f}ZwX&TBz z7RrjdJ*H>W+4mHSJ}$nqX}9)`VENuV;8u*^S>El%?hrd4ho(hjPBBg(R*gzbNIiF_ z^UV5KFqvXkrh?t0QaPe*a2J|KiD+tkCEK93erJShWjPS#w)1qN8WF!!m&ekG83cl2 z&JXFFZh`o`aqh+JK)r4VRVg_T@4g`l8hh0m-rY`!HN}%&ELBh@JTW$80Ds6fD!#d% z&fxeQ{ahiCh5eB7#iD6Abw++jI${LN?RY3UbPZ88dq1b=U<6`{rNrZN*kZ{Ql~`jb zEJ)M=EMEf8)Q5EM9Xnf*kb37NqMsJTd2vwG_>fk-4~+KU709$vlgCdnYS*OUEe6Bc zMuzu+ag@aG4Cf$%60gMU4Cm5WhOw+vGJ&SdPm39`%4}qyPMnJpo57`?<`|PUW zIlVf{hrpupL-w8)mMVw4A^7#WW?Q;eheFZNXW1oJ<3jd3-geHeo_ItYY9Pb=zz_+E zYl`PWx82(m$zlSn=JX)zf00kaWuogdJs&*8z6LU_(tIB!>4_0ES7F-wUJA>5-`EwE z2zJKv^y2DBgmPm9{nGy-(HRIPY$njIzV7d1)za5FLC#yq2 z^FDpZ@Tv*SQ9Vy7t~yy^EQo80b!nrcmSPUdRks24b9i6`15JAk?ZLQ)0O=2*Qb_No z^y(EisB*?N+sg7z8fbO`dAza*8L$}j@Y>dG97vfPWL{NA>+>7oPxVTr)?ytXtm>UK z5D*69>Tjn(xd*bmii+;M7Ra#Lyq14)iv51Au7;-B{`r9B?Q%Eq*)gNV-rOF+`hI6` zD1J?rz8T+-vGn5Dh*^=n%C+&JR2p$IHDev7CckMH<81VI3KwU1lvj^HrA#esq^qW6 zzn|}{e~Q;^?{h!$bDeH^>jOK&tv_GJuK86A;5S-#N5zTK>5Q1EuPTx`FVY~m^)))f z)2l7Jav%vCjSUhCy9Nte))TvveLqA4GzXYi{*}xX9!nbD7g}5Yy!U+;O~0l^Z62L7 z{-o_=j)lM5_C)GP^v%J2YpbeK8uvr2+N9FL@gd31h3yDL?Mfpe>-vp3GHMM?1Mlr? zS$jNGsIC*4OZu)EUPp`=&=b#dX&WP0l>=#(9m5X@<}s)?eOJb5kKd`;?{>7Gg%sm{ zCQ_MdAo;O%O=We7ImoWaTp-2?Bz3E$rc7Js={{J!*B1{X?)D(qXh;g{36glPnaeDZ zK$7qA3!AvlPTW_hF8jFxX${QaeG>a@F5i>x>2+kEGVwdv{5h+qkIq7bgZS>T#VB zYyhrA0b}+X?g;Lc5ZXF&wF(z5`;6{KS&$ zcTK-4$?}RkEw;vm>#A&=v`%{B6b9QuDhJ+0xz45%@#e6LkUG$aG#q_|jt~w#TZUiM>YEcq6>} zM;otxh*v5V8vBI|k7Uu!R0HW=2f4qd;00}z`bd(0}}pR-(g&!iI~F3nG{op{UIHxW3+` zMwG*zhdoWdQy;Hf+(T1G?e48gdIQ8(9srL88u({gb?)7Kf+AlWGU<{-h3=PAu=8lkw+en20n*XEJ27m^-} zPU7Z&bDf-}YF{5QRa%aG1h{%R5a)kGU@hi=U$n2D*Ps=WXY>7SR53wKik2?5&Kz94 ztVtg=RlRXd^V(zj1i!nn;(WLK&N--)9O+Wx1-bT+Pkr6T5RsZvox+9bTX_K-LP;!K_tJB3F{C4l|Te%WE&5@B`@y!x%gQ?}rzX7qG5XaKDy zm~!<%`mHrPqPD0V;ut&YTaK?JRj*2h4ZG2g61cm3Z`$M8{(fw`4$D2#njs(A5 z?66SJxDs!P9svXvFWaSwrT5H}$MC7Ui08?kJA~sRPTF*3d7kodb8o~mYI~838ID3f z&yLaHr8%f{nR`urEjml;qAbgiuGWVcL7zmu`X5AKbs&Axv^~_44 zuZc)4{Y8oMv%NC9vWn=5Lz9bFKvIo z+T-hCo&O>2i{V!~+Z^fQggHpL{6=wsOqvNT61;uQ=tZc99L$g>s)bS8_0FxCq}2@K z@dJv^^p%_v8TXKTFnwcIxqrIQG7wUoCyTPB6~9x5Gmr5>Yp&VPyhrVe>O$*ov9v>G z7{8M}?oV0G_oT^q(5W~`v3h5^avzA>=`FyMYGZ3HY`#NnV%PK%EaVk^4`+t^>IN&7 zBQ|RKiAEsV`}8Q@*Ea`6fc*~Jn&u6X9E*n>YA7MinB_NuJVYSQ{F321iV@W1*(5Zc zVg%JVKFN1PUX%8Ei*3-#*5XM^@@O8(v6!8!L%AHXa3RTZhXe8$osHY@c8)@HdE_r_ zb6_qTK~GZ612HDtacXfif_>;YFB{b-8Ucs6M-6FE55zQ-L86nULcZ=jxGQQ`pc`FR zbn=@wdP%2`pvFqvPW7FE8nJp?^Df3h0$0~m%JXb?#)^Hkrs`R%Mm_3_(2aM+I)^HI z2>m*zU)>h6Mu6U~jg9Z;ol(A0e{+SJX(4_B(ghqjBQ(da{@@WRW`#aro3~t}=NmaB zkLqrJPBjbL@_DXnO2n@>GB3VClobQ%wkK{sExIL9L4W)!L5wXBtJNiY8S4O^?`2;w zjbJ~-qoAXQLdQYuZtaOo3W!I7YZ8~+8NtoFa7Jl|w0PBc>}t2kc7U?05zW zg8uO#kMI6axji2;JkB>Gx{v*NW&fu%hv$*FGRpx*hZuFW>=+Ti@r|Imt~^}L?Yrjc zJA5cy4WxTy?r=N@GTahkRm7;Pv%t>P!}8vUv+Gky*}mWL=obYHPx%nuq?LPrI$E-af?jB>ESbE63OSA!U?rRqY+XIyDYa@Q1jXH!a1# zyLp8%Ed%R!H>=UyhgORj&CX8_`;PXIGOk*j47avMFq(IfWSR*yYBmS2i~gdmg)p7} zPK{dKa}fC5%9PiJQ|(pBa3^a78%|{URJGnb&=^^IT z`+>j8YtVCvF1fvmTzep&0*@C#5Y}t=Q|M>q=De+tnu$q`dg;gKnuGfZq+V)}&+nS) z%Ju|xV|MmI?e)sFhjq&e_gZ(_HRE@ht5+c&-DA|%vT*1`MqL`sH@g0VN6<*M$u79$Wp8w&(OLelb+2@Z$&PSaKGSXTSiLF$&hgr^~50jJHvy7BfN zEjxsE4%da-Uz|>vgbaae3U(0ZI7?5bprWmZU(&k^x5e`a$*y=s*h2fxVZ^s5T`jr`kA{lh?U8~p zmHXXJGn6~DCm!w!N5*%zd3Dh@nu!AO%D9d=tiF;r1N1}6r`N`LW?wyfK#IF1SDkD$ zo$7AmI&yLTTO;=p=y&`Y=u6!ADA#53FuiF#NX zjy#5+vQ$oql)BDChm%ihhl9ntjrWJ8HA)$X>+*bAp44a&b{k2GvS^&Rf+VdP@jN6* zJX?|0cTIQQmv_kvX_xj*`|8#SkNVvZsn=Y$?45!XD#;2+glHX^(Mt;Lv8_>h%eC($ z2wksiMR>>whugCi_^v{n&GaY()wo-`a$ppC=RlI{wLK-6D`;=@JjSn!KGCe~2<2x& zl2@8{wDN@Pz0S^`K`)!W1v){k4u7u-#r;oVgKGvo(wl;Swb^cothFMIkV{(ZmX5U* zcT3*oGtS5TB6(VMEo=%y)>Ar$h#@OF1qmx#Hxcx?DG*fm9BGQ;q+?C~5Q@$;2astw z*F+1Rl0&m?&$C)ov{P{H_5SE~1(Lm&XCCtjgb4Rl@4t8WH=4JRnVi9-CPmN(6yVmq zc4GQX2qo->JW@>9=j#3;yN2NL6!N&LAu*hxyTO_094blkwvpzFrLf1mZ+j!zbqFC& zdH1S)rVxJqA*-vB435(2dO3-pzL0)(0%WuqgplJjE1m@x{Bwai|v=r+} z$ED!)pv7bBG$wtz+u6dq#+Z5SYjs+1jJA>Z=13`yC(nc)`({R5W0mm z>V59J_6;3gnrq5`EQZonj^BX|!nw7U`b&_<_5BSQw4yoK3v4+OO1v9M9&^|qXN^%a zOz->X;?CH}$j+CRBJaErTzo@@a@B(tD9@y%$S#8E1Zgsn2}iE76Ii-u?6DBgX)pI3b9hcNl?9Bh}sYf7m0eZ47?M`?MkZOQxC z=41Bmb`&Y}HBt^T9Vt1U=kN^}l9W*!OuDb@hM2Aph{Fvb1N0&=*j-u0@z#2b3Fqpi zpCvxxaforeqA;m48%Cz)`9@T7-rqS*xQ;}fDyOmUeCUE`U2l`~{_2O6pT{Z6@+!ok zzC)1g>wproxEnPpzPo%QfI8ay&AKXO8G-lYZ)2gv^H7d@DW54$K^2(yYd!>tG@}GZ zE@n7!GmKMKU^_YfN6b!lt@Fc*K4V7fx=tqbE<@LDJkI^lHtKf<)dPl9u}6W-D_{T2 znCo$^(TDoAxLY-Kihfj?YOI6nNh$Nz+8NV3hf#f*am}`o%&ww^Rc~Py=b}3NPa46z zvhw`w69@%PhHQgt33)s^Iq|DCf+sn%2#c)6w@_RWliFc@bMwS1&9W=f!s7dJzj532 zv5V1N;&p>-<(M}_yUkYqYGgKY>Di(1*OZL}=hko}iBonUv}zVH3E0@*$W~r8Otl6woR@&MDUJF$GXs zJ4DkpM4xSJ+b58vip-pAj6&m*KE&^q!`o%Id@PeP5LAl2cUZSq=V(f?!_MdCOM9y3 zjhMGrNLFc3u#@{5rDARU5cjt!7iob!7N=n2iM!E1=LjX~LeMGsA(R*ff^hQ>*{@EP zDr_6>Q4+_|Ttmj_OlnyyA^UtN-4(#uLv%MZ_+0CpVp}2W^0!l$_P{B2>rzs2#k`B#F+(+rsY`Z zfRg|7KzZoQV_A_?)K?zA8!;xWM6?VnI@w^fmz_x7$dLNIQxt$~4k$3zs2>{e4B1|S z=HNl9))1sYgmm}BX%mXsp&Zc0hKa8CbEfo%Kv5QBdyG{bPO=(%FMP1hJl3TDvyqaV z{|znQu%fT1A&pyYgnjKcEb{ZR6CYG{Ew&CdNL=%n_CTi_HOrSt$r<$u;Uf8`shW}~ z6dgId&_o^Z51}?RkjLx!_6e*yRgmZ63L`8PK8ACS6zIxy4K+|U2S_{0&*IQ@t}vQm z!k4*5kn87f@9oSpHb*l`2ssTyO8Q2CYQu+Q6rRG*O0Kf~%O>H0WzS8q&ckmk9%ZBK zylK?bzS!@~GQPXPQ1e5^j1vP9XXjxW(N{1yn?2h{j$KHFV%?DZ`I`H?@@tSBjcdx| zBcRkUJn`BrcAM!74X?TxYxik)8R3cdE4y6Vi1)Mi6VER1T{I#XFeW-fQ;wa2r0B&s zg~HN{w32+T@k=7+K!dR%U92Y{&Qg_NyU<*pMz$aFG_j20o%&qAZRjsT-O2BF2olwZ z302SOCAz*NCe*EqvBUTt@26ieu7v#*kslJ~KZF!V#A=rB?=k$oyhL?uC*}ipU(?sE(u(5i`V@4LJ?=LM~Psg8dP%+E--1rm1S274JJz zqH!a>tn9kw`}f8B#ZKYfQHgv>Alduc5&~m>F3cV@(qI2wFx<%_nG zn92U6BX%Sr%svOJ#T*b*O8!nu_zP57+e+lE#`&{PeH#I6QgNCskCSgtAgvmwX0b?p zqG33!fW5wKc4nxDU>`WQR^ndXutidk2>$8AZGS;uDyi? zNokSoz4S6ie5d*eh@6DJg@*&j5FFX?Wn2*x*5`pOXSSjuIOZT-JM3Z7 zc)uUm9PCN=gGPk*=>1%bZR*$=2v<0dW(&2N0pYssZzw>v@VA@i#8F)dPkdbY#)9&t zylP~1Y-}`53xR0C?1Sf9AxIvXR{&9}qIoo++WuD4Ga`~zAJ3?98pWuw6pUl7K2ma{ zCb>FuEWGTBQ{=0=kes4CN;Cv5N>FiO^cMCW z`$P5IA=H_Qb+SEsEdhCeKoCtZe<3YdX|S!k|Fd0e?IAdu?wh4Y6_R5-SFDr98u@d3 z!Cf_T80%fgb7%6qy1@s*C+CdYkDxssi^Q|?6o}|~un=r)5SVBL$jjQ3wqVMK&BbH* z4K29!A-3~TqAXhsS!|1sHhTCpYM3}Y`=3?qI8e*A_d_3zJeH$=b@{YIE1ra@`*Tld z@SXgV*GBARA-flQ+Oy?s`MQQ;73+nAkwr6#u%c)GmzeGlob$fWPM@gO0nVXk%i)|l z2r+K6Zu<;G*tYqm-)SgsdIb?dZ>`>| zZV-ak94r2=I+`oq9OJ*b!Jn}CTAdd{g~*6+&LazGO3AKjlS`ped zuB`l?cl8MDJ>-g^P?oH<(=fGf9geP1?>)%&gLa6!V~6z1mWN^Ef{e(TI{F6Pc~wY+KYLd|Jef&0k2zw>Su`Z$FO(19tU5w!P*^jff`w zh`+eYUY{#P_Ju}?Wyn?NRX~lkr5|Q{F_#6${J8X>+)a#n4uHB=4tVe)g z+|V~iJ=M>?K0>^a`Ch|#XyF6z;T^7R)MrDIm@mm87e`L(h~C_?-afeHnyfU$VwPW% zdfbgHfBhl-i7YAYjE^HFzBw3~d<3*-e3cfaVs@P};{r5W$>fYf|R#RP}fn5qw2%=lJ3S4pBBC0_?M_7DmI{C6V1YU_;*tgHa)lI{CBgpRTTpi#0NmIj&^X|Bu-t<}`d2hEDd z9)A%aAX!jSpybTm)rj z*kaA=Ls+miknEN!4KGi4lz&dZrCtP#8dGs5U-{W@ROH&&V2TXyFuTTECIFs5VZU{# zIiMKf(w7Yh-O@QfO?PO@%KZ|Dyp*b%n> za$b*&#*_S#1uLdmPc5oI@BuFcqNy%Md>Qv?%P~=2pzQYc`pLaOJ@Hj)*^*@$zw z?M0xz&{2*a>(9QXOHEQDq; zi}#Wzde9F0PToc+vNJlvB zLrxN`3u)fj(2sPPkmMtvuh#N2ybE#3KOVl}Z@@@uc-qBgQU@Yxalg9zXSCdeWSoeU0-& z-Y%lEUYCZ2e7+yVHar4|YS+8>LdkULUa~a%i(FPGISKVMQFPue#U4C5Avm5tq(#RL zS9ly2;{Tz$)#=?{4GD@Wq`KxKdq~&aD$VJJOzp6g8+h+>L#}##*9=FhVki*YdKEl; zo3AZK6{XijQ;|_))GN8lDNR`8ds0_vguhyM+Xj!+0V-b?wiRrEE`gNV2b<^$~M`Q(H+^9rI7J!;&~>vzykjGdx1uWl;jl(mo2dT+K^7o*;x zzp@#na$hGp-@m=NbU4LzmQ3$Q-yd{TP2_TE7w%OVS)N>-y`4ilDe?oGihC^kJJX4g zPdw5r{9uwEY~0hX*|*j%mdE8YZLd1KwhYUf`_8k(*!Bvkb~ifb<3z8QS6xWX3h`Rd zhM-H>2MFZaH96Q62BL1}QNkl5%lowQ)C`7~u9iOK&Um|TR#KUKHvyjEW<}^ zcRNa5T07mm4`MapGwqYz*i1LF;>&`8VYzqMN{o#vL|Y+qMZAhi_s64B!TWWjE{2VI zAHiV-JeNWg>`01~9HmI}&FH<1)0yT2`%1o;L!wB)uGt2c-opOKyr=l|63B9>R~}<; zDOGt|%9;LD5GhiUV4<*Yn@wN8ldi590%dOo7a=N(ZS?f@iXdy%JvzBvz&K^5YhB<` zs#6fHwdGH`KgT$O`L^syX|{@Ml6Sx!lQzYv=~Yy)_!pfR)I{12+a6_xI)N7V=b(9i z@5blj+%wb$y{Fj`fb=TS{XORwfw0QzcQO*jFLJ6Se+VwedpGhmu2Wp2euv@%?@ZmE zp8CN0QK`4PotA?aAxY!aK+z*`8gXn6s0PxELPPEdNuKweLQ-Xsdbro#J-$!Euzof+ zG0gN+zvJE^yVZ;2i%p??`sg(8O!p{hpnKmOBpuFrvMAgTf^li*c2dO}iCO2g*y}^A zwxnO(s==RpJxYkN{5z$8^xdy%5^`?)3Z2jpa`D|VwyL{51epxk7SlUwnue^}anFv_ zgrE#wR|R;Jl4!ppn!E*7A$C$-7DFk}g}>_nH{PD-#OXAG+Ar@Nox z9(MUx%h(dmTm7XC*dK4;x9*3 z*Le`1Yp9a~S>B@tJ=g2;Llpk_-C$MGHGLb3jubI4oFehdwtPw;}?JnI_bum9u>|(^s>_m^65}F{L86mYPo{~fQ z?J@7R{qJvAc3y%6f3)`AL%U^m$fK?p_IOu^P4E+^K)enGmX8$Y0;SPzyM%vAklM4P z$3fL8lf3Q;wHm#JQ!?bUlY5-B^-_bRgjy#$t*91dJJKy0^(n%2WPRr;y$tqFlk98g z-n~MFELz+R?lF(l&zW@1+0@-e`p&sihh?h@Q%}emUO5i|wva;t;YhqwY(ei*pc_ZF z3*&TKEUC8-LUPk40*R={wyv*w@@=0q**n{<@{0D4fq@3+YbBmAz7QIId`8q4#wEv9RYySaWAof=Z1Mbc)OO_68= z(cQ?x`Q1|Ny~HN{Zcu6Hc{tkKj!!E_K(GJx&Gjw>AIy>V-Hm*#BT049`(DY<9|T9@ z&CPnPD&!mk8LqCJN~mNZF6%l1NM*+CJgNA4OY8ZN>h=NVThj`8Xx1rx>9Sb zD!QY*&H?JP8Hk+=?HKEE&Hk`#Y=y*NjG+1Kl-gt7Xe0BwfThR}QNwaYN}3`}L>evD zc}lyde9e7?KlbKM|FPb}Tpqz7pQ{-y)jIgl&{G8cNL)8Zx@u1M+a8vIB5E?@ZD%4@ zKZGtBjUWwU0HWhgx>k^7SZsYG+aJH%HIfz@!`Yz@Vlm4hkt{6IpWI6^QY}}aK|@?-}Xajt&opSD(AO$ zU_Oi03w5B48jk7k>-UZAcc>PLHQG%X6|KJ5*9yS?6r6ZeS%qI%TUk!zPW+15wTm!Cw~Z}{t-(<2HlaYXwlwyvtL6IV#Mr< zDJr$m!Ve~PYkmoI0a2lnX|Ay6Av%B41xDx_^)u+d^*O zhaBCMK#Z|^BVA|7Mv~=R30?<-30%##4tnWQN=66Kx^o*IZj6m`$7~4NS}{A;SJ2*2 zz2+La+8=^vp|?#j-0yb~J5#qL0XG`~@?cSAP`Xt>?1M5zT_+*{7F%z}w-A#3DE{hDDojf|G4>|fzr7c^TtjM{GSpAl4%^CHdeH#rD-X6x-j91fWt_;2NjGRP!jG=ZT z`yI!rNwCC_C(Z@R2F-$`DpKnW7FerBg&rv?6L1P_Mrm-0Dg)OxepN8_JbN}Q6WL%Q zjQRuTL2u`<*SSrTvR@N*s$`cv4@gSlkp=m9_P?EmF(K4?+1Tjvi5!b-#Wd1=VXf1# zX-`Tkwk)pGH}czIp{kR8jag)SZ~Ed$a5LC0MiAx8{$JJHgbCzPE@Ih+(6buWI{ZeC zgg-|wYxZl(6FH+jd_T=dDuhkGU$C90=zWIOx%XH@AScq3(uMCx(cA8n)7n`ARZ976 zWw*EpyGNGinU9}lRITjtM>APj8*i~ao-L#qyqC5tYm9}4#Sy5yT zB3-v#Xvc|&S$h0Q_cyOEc>5mB*XWEYDkh^e`yC`m6oX{#_%&TxrsM%F(}nu!mmNd< z%*?Y!gzr!gSF>hKu9EmI`bj|KF;chFszjy2IzcU}b zA84wq3IuLOMM@5NwLQ-^zaqczT$SeAqFhD4Gp`+7W zGh%i$9xlJz-k|h;wt?ly-XP=spaA0@<@mB9bQAhD(Pi4vqH`~ltVZN0msLnMWFCg* z`h*sL$cR4iezt%2koQYbhHyU9=k26zUu4{#_-T?BQjD9s!G!tc^dM#aA=^BT>{#Q6 z3~ACu;K;nb5*X=})2qXGa$*6RQJU9$-kwLDg1ui%Q0*`zYt#khbwjW`U*y<4A3|I~ z(N@ZwtlJB11=U6n-Lw@lKOS1jZIZ`bD6{KAvPGPsnhl$R$+7sswu9t1Zlt(Pv2D4i zDQT!GSahX)nbmgcQ4J}oBR(DM*^wr#i;e=Oo!i^NP@i~jM|HxGN4)!-xvkhW`;3_y z0c|iA6WYhSEC*zgx+6VuuB|LTnP4AKz7ZA~+xg(Of9sJTOzi%C=ug!1rq!j9wN=a;HOS=g#681Z z2n{8Ml&B!8gi-BxW=}Ed{fquQGjx3^b1fbM0-_fSLSZdqWTJGoA z>uhXKy4!Xz%9RzN!s10pZ2C1L>cyxqHcR!KCQ}G>QI3Q!lWDQ`YgX2IN)e--+f=;h zZD;h=ZQeSf%0lQ4xsdpsNm+p*Q+=*@-k$M13eS4dQzc_aQ+%gqhH0yJZyUv|3^J}3vX_d#^`p>aIph>wKBx4>YpGLc zEm4ZFJX@Z#c;-$if_L;n&YZ!PNlv?M zi3+afZJ&hhZ^OwKBj9i}yLUZejwNlq?5oGBX}V@_%iZk|*dl~%)6ngm{Z@ofA!c`b zrff@05+G4!Ro6h-BJ%b3)wWTUtdP6m$<8qZEsJb#Htz=rW0U3;8-Kx6p`p*HD`gj*T z<({&*Uiay)KghZU_GcTx5mgs*?TI6YDrh_EJ01nyPb!3pD2rcnqH6^E!1Emcnqp+x zOJaGBvL6XMA>Ms+dQ4yIwjto>S)NlY^&;|I{b~4ngXeAJrV7ndY7GfDFLYlf8 z!a~ch=|$I6vh0Qo<(b+kfUvnnhDFyD*hu|J#mLf*pt&Pm{brCjU-=TgTU~SHdwm_K zTh8+^@TR-{e7oE#_V0A6sDQKKp*_;6|h*6N5j9a*^?mg>ZZKZugR;L9)~S0 zz8|LD_nmEuEsdbL>PmwbP;a51w3i{!xC*JJ-`EhBc!X5v;yqFK*F!$(y=!-Q#H-uj zgz`$I5+h)hs)z(O!R{;SYLN1S>0$ z=3hNs&TsU%VTgDQ(|Y2|V(Yu=tD5*>x8wiZJH?VxOJhw`&sqgL#3|VEeDGZ2vm>Hf zuKj=}=NF-q!M{1Su@@n$!Auo-up`+pyE!P#f%y zbXj(LCAu#I1(0lt{g@t&AbONFg8F!mG{Pb^R(#9;WpQZgZrs_CZ7=8=y0N`(BlI;1 z%zO0fwD{Nf>b)9uds)gMH?nSK`{NvT?aJAeYd6xB2V`ho&$sNEd1(L8a=>ScKn_U zubHb=^~(DdaON12l@BH*=$^#h?xZEhf&N_eiBAeUe((3T$1?>puGxOIBRzIyy5wx< zgD2}lR=$uDF|Ijl_OG65+d!t*!y<5_c-8S7Y!0?Dr9A|pN{ix9Y^4=Hk*{}T#C{Ez zs9Bwa{dG5rKr9=3^cUm@Z#(Eh(yS5RDIU?>CPwcOr>Mhs3Thl9DuvXmAt~M|){>n+ zs3^$}In8ulU5j?65a$y*L_;GYW{>a65M*{;LvfTxJA~OicesrL z@ywle8?XF*in7=QtUdBmX^~V6axYd}i4>dYJ6%+BTlMoy%KkSb)a?w01yFYULfzI8 zXir{bd$;x$>QOF#k-Zz36;PqmR+ReCGaC|mhub8d)+3FqeQOc(p2goBc67wa`!xrw z1V!EHweq`_8IkSdaXXTfNjc1_{yRxE&izzw*u2#zs+&%2w!I(Nqck=Yi;E-CoqKfV z{F+wdNg)ie=cy&CKyU`Tk03{1PCD8FhNP$%Acbrv24Srnd6{i;*^`zhs$RF{)4V>O zT59EtQg%-Qo}tKeQGeh_7jJ8S6EKEw_6k`ZGRvEiEY2D4Yo_!)4 z>&&DZ8Bu#&()8F9Y&FUQ9!Ww&U_e#oyJq7G!xL!gd$+mXyQpHFR3@bBZY`>{*tmTT_RCID&ER~U zjr~b|p=ICJXLO&t%A1vyJzK%*Q(YR(5N7)$0I7A&t1>IPAfxwHfsE?N6YMI;dRqje z5cdycpKE^22-XH_CCz#&M+ioUGy6hL9+hN>0QkJYgREMdQoNs*!VA?JlAiOo0G*WT zYRa0^$Ce`RTnU`oydAb1edsX~Dh*@n5ywvYcu* zA;a|NHUh8ni_rXUZyUo`2x5OeHW)XAEZ>#)3sr1`iZ!>MwxKEu@+CjCH&=tP8Uf{H zX9*&4s)8rPWSK6MQKLTb)LIx)gWHj+X0!PqpwkLzq+9H6ux6>6?#MP9GQZl%{qgxX zmq+l(kR~-xk1b8BiiEI#^;Ey8Mst757F{Xle;ouv#P;}&e4H@+8@ncbj7A)rzN)^S zu8!)2olFH*W7V8eW;7ki!DNF{pUvp06cAFEkD5JAquzV>8GaW|#$jeK=>9h=-n zKo6nr#<^hEV3TX!h{q>GT732U3o~UcbT6W}g0>|)gDC{-!P%3Fz3siH<`JAd`&)ht z`8ZS{+cRt96zE5t_jcs%dFF`PmBHR%vqKk_>ejafYViZIThTpmwtyc3%Z_TpVJ)_| zfMDFm=2Lxa6g%m6a4P(oeKJHR0K}Pw^J7IDeho#>2Z3BaIr8Ijqaex07HS*h){4c1 zC?NXS-SRo*W`+=;d6B)7cOL-< zh!7I#hNO>wr_lcJLx|$&cgm$fL6lk6AcaBZ|7;hk{kVZ1-;wgitjOL}{BB*D{7%J! z)c|g*aYk<+^j8=Z>V>Skb(aW1Oh@H)1u!<3fl$3Y2Opm)1&Wj?}*hA zI_`z6$GqK_5A;R&9POQ^`Y|-oLE(4X-+eIi46iIr3o_0ZfquFg@}wC&C68AJLU}!+ z!?Kv#(?Np&di!h^b4X~Ws*{sJ``yUFzQ|rx%SX`C$MIRlh1B%*g_6oNBtKu5Y4RL@ z;$MDi6?d*A*<} zdny0+5Y%aNFk@fUj@Y)rvrU_lBT`$m`R)<8UZcIcDDh64G2x4#_rXQeJf_{ZRc8?kLmgtckk!nKnj-L?A^JTMjs#ksJ`Ct&7vcI8%2&3#RkV= z3$xV=S$}li7w=RRq(x2r z;XQtQZ0S>T*uP`;PO8txWHVi7wNYu9V+7r+0~7Lxnw@RknjJzBZ?NpleSX>9@~4QI zVBxcOx_6WnoXl(aN`#a-5U7d*sm}d8{4}fVgQ4ayx^>=HL~%Z4hGrFo8%gPVr#SXM zgp@>FQ?2V_BPqw~-A3lmej{%^VpUNi=SWvHd>qb?W=IOHC0H%0jk^E7l2a@4L+FYO zZHQ%g+DhA8v$F0W~X~y03wYgzO3G@*053z{(Q{XquDhnSnH^(Gqv@N)E}o( zHHCo+xxREfiE{n+gZe;%AKzTDI%25UdaMv)jmwa#D$#L1%-_6`tLQO;WHv=b%kI0o|&s||lh8KH^FD9EM?efD zp7gQ)`g)XxV{FU(MnVQDb{8n)f8P%s;PqQomLc3oUf;I>%c3K*e5==b@+-=?otRulphEB6 zgH@0|OkX=G*gsb{HOP(39}}Thg@HW5da{x+my-ZnH8?)E32bwK?H$ad%eY$VtBNk9 zSPXK1bDvlJz(!}k12w|On!4<4+-LRp_)wfl8g7;7s5)eQcFnf0W!;`+osD{~99|nK zAG_xHBAQs&{ub7ZT?6@H#q67~%iS3CA7RiH5b`#rS@skuoL2to+ zhvKtf7U_Os>}z_&HYN;0{yXs&C=RqWdc;&C>d~z$hEazHHsKBOyP>ixq#C0HJ9&>7 zN~epLgiLZ&iff(lnwSnLxR}~CXVha9)nQPypb#e zwUN?;cb{0WuY(*N*C>hlzVjq$gwUAY?QGo05FZNt@O3)UBBFT;jV?sH7*dF1ULzP0 z1@*`r&ofIP%(o#cI_oGyUVfhT8T=u6nx<2wUpcPHdEM)+2Y(1+Wy_GKf9&nmcmGMu zK)}H)dbf zHalY-q--qlNUrE~rm@@<20((d=a6{W-H`7U@_5fG&CclwO#tV-fY z_2xQ7S!Va1s&paKcw{QV)}cLsZlB$a?u;48>~7tT{;{|W;}WHTHP$GWWp^t&se6F2 zS?so+_Z_+jaL2k$9R7#5A0Ls6_8rhTxZ{19+=BNeGIGpg1~V_c8rQ# zyM{Did?nl1u(7Qg3Twwuw6VdF8{gb4wukI(R9dsBEV$LV=mLq~S;0d2*;MM#Ek?K zN58sEx8ai2XT;~<+~cPUL}M$LKevsYtaFY^!)jh=9icJ@XNj%eMSkI9m|5=AZZAF9 zRj72b-yv!jEH3%+IUozBJo;^=E$R%!5(0sh-|d$zr$E@=LTkavjL?yL{1lk0a)h2+ z8#O$9QSWj;56B9{Eg{<4g42SwHvgR->}yB#Yd8`!T8I<+sC%5Wkdb%{g-#aLFbzV! z7xM8EbU!sgRDVDLd%H#uN+4vqJz9iYth%(PtUj;j(WtQ!%XLlrI`6&0>&#oQ50viq z0pw{>fp^uMg6M5y<<1*X4jG#J>WH^z z^jvSQh&zxH>*n9jUcDu{+h@M!Ek$KEEuP8WX{zx&rF9=QG_LBRC$#S0=~5jRr?l0S z)T3FaiqE>Nr?#cn%kFo3R2M*5sddHtMV9Xej~IlZUc0_+^a?ejXFQIGC6RZ! z9=~QrZ_--0U5-T69@(tv`_2fa$i(n`-g>anr<|^D8~P1hvmeLfd~6|FiS&J}3n@|c zN_CzgC8`~_&)K?+ncWf}YGZw;jhScC(OcygLQA2f* zQ^9I{4&=vs@U9TGpN^CotS7U%RfF9sc9p%2*?s`?e^h*uX?EMChHpla)jSEv^@FPg z8{A@@_)t-&+N_rcBr-#p!^CF?zM>bYhz$D(V!a*W3VTu{w^UiW-@$OZDuw+9Gh`Y* z2Q653&|UX=BR#AWV;kNcyDcj!SSN~NW(`Kp=oHT_w-ZPBG8v8@N48z1d0Wd^AK@+w z&lO>0+YhjBWg~5`cMTf>y#zW>bDth@u042hh!QqOK2M@#XV~1fGTCCC!Krza`V{m9 zvdlN5`damG1iw7Co?&7UQmucx!ZFlgbFi%q+hJk!3ZAS2wXPv87!M2lCpH3VL4&2LSUv1M-6>>@d8?24bEsIV zQkJ?W^RtK)M!j+$?l)4^uTfKiVICNz=3yiCCT))&=@uPQmwRBT9&+I@0K zJ_nR_VOQj7&${-w%lc|C3W`4#+t`L{3f8UiCn6J3w*4*4E9#N*SIzA_3&j1! z;(xvc`$`A|#k^&&jR2|NiqGAnICH4imvxZrZSUU+-Iu+HtcUPqWrAOfaJpZER-WwM zZ9OeV)Y*;1qG_)&f-WBe`Nqeu*}L+`47WUj4)3?F*=xdo2j5Bv-OyM!S*s+%h6!*;h*=_cfHj|B@byeYmi`yKLy zQOWF8ZYTSqFKh2x#=b@-Wkdxy{W6|KSS6m6J=HTDq3#0n+POdoLf>3N^ZPeyg1^D_3crgZIXWn?_01;7%F-F!pkuUa&=8mJOt|*9c8J&p zW9U^wTPYvVn``tF{oVp`7=86L``R3ICSSk9oBMrP(CaDkgBFmjD)?fbsHN`H=Z)T= z@c66om~Dh)n-H(At;}OYi^y=^$gh?<+3pVoaOj2Z*X!?x&eTAb=L+ztWm6zlv;23W zYZf-*?r))g)&>-Tw&FJ;j|ExMbj@f9*6zK*nI=FzGNoUYwe{rleje>15S`lhL+x(V zh981hLl7+yGL6NbRTc4T3Zv~SLH}@t2JE)ZZEO!QG$GI<8?wR^-;46GQ-+?4^+g)5 zZvk0pJt_2J-H~7YHAJxfWv!^$rslz~DWMiXlG5MlO20Vyw0=!S9SXVB8aIE^C+us4 zYf{WOQEvTi=t9}hZ-H~+Th6L}dTO7?BfCMGrV7#@S9 zQ9XFwApyJggUJ7k)2(O-G*LoavjO#y@W2Kguy!{@>@;fhkdm+788zr+0Bo-LqJvd^ z?+yOVl^#0MFnQS6Y85vFh-a~3M4fTB>d~TooaT57bO700lTnQf6k?elLf|p-GKYcG z5C{YUc`hWFI8N5eEXejTvYh&OiyA_|_GP-#W8e0vu9)BZnRYis9AXZV^}<0x92%A& z7A->1;u}G7>$a6o5px_CiyyMRp&ql7-2b6mIBMPRs)?39-bpx=tsbc*N}&RAe-1wT zZvh?`jacYb{MFrnuQ2{q#GOvNCf5kDZj?mo7t zsfS0(cK>5BY1D^V&XCn2M>(J7>i`7^t*XOWkM6(=;zIQ0h{hgK` zeipU+*VwkVsj;0BSlGF70 z7{*}K?&A&Gyw$I73fVqi*Obs|-X54V9f_C_1SYm)mvudiXF?`@Fn0c=^i{#Tein$hbUK|C7Tt%4DaJAAygZ?&ii9@VQ1pz<71<29tYn}tE+n9@Wu;9KmB=xAFg&y|z ze(Is}JP4c?W(jT6P8_)#X>Px9oP~a48tzqBC=26m=+gg?f?WEGprvh=Lx!GM$o@!d z4rmdReq-*&sf0j{s!hfr5&Qj4fl@X!5-$s%^pEBZ^+Y>03jvMLV$AOCLL$*V#J|&R z6NIWEOd#fk?hiqB{L#*xj?h&v1VMB|ifS~;XPA;Gbw)Mn$;nqfhV&WT1LyoO1g>ta z-t4)lZQhsI59sQ0Sh zb8pErzAT~~Ha1w+O6-=NZ!GlE#NO-7iqJ2#P}zn_ARDr`gHV26ZawXJZzDRY_TOz) zl~47HB)FYFh)Be_P^T&25kC)W5D(w>(UaDQ4zXGM{p1yuSF50PX2|}Bp-^~5i%R}1 z;v=eSW82@8;x5oxnrF0cC9PQ^7QT-bn#V$iaJcV`B42bq&gXgjn*E)~;@Z}Qe{AMBXJ$Sb1H8Q8DEEGzrLT0ntasV4rB4h)Cl|f zv~B!mCv$>*eWAV*Nl!=RFXcb>JI|+Cw~r3@Tn}TrW|XpbyM`k;GzF9Art+k!N%nQ| zcbe&Cj*8r23}**vVc`#BajEpM*XROX)}>9*KRnktVeK)bXGIn!`gPfmJ#(`C`Izl@ zIx@lXa)?=9Na*%m`hYc`^12s+EMpl`#9WT@p>=7)_LP++JwY~mof?tC~u4ni`@-vXrSiV_?lPeVqqor?dW9(O^yFsgVD6SX1O5-+lCG4oguI{CN^vS+b#iB3VtGK+n|M_^46jQuT` zjkJ-7lS9wv-yGU8KMg+rO7f_ogjiDb{QxpU#SbzSZ-yY=@gf{FHfs8vziQOw&x6$# zNcU>gQ->7QsPkGo>0{<05@6m(TI-8A6AWmEOzDYtTK_H1M@w+TugNS;M?P+oOY4tf z%NEQcP=44o&)40~Icsx3_$)X__QvNUcqlG-kt65*L*^ItI3#P!kQzC0rpfY}X|Hpg z3+*VV8o~$lA`ooa2u2I;3<%dP$A7Aql`o-(@3*emruCH^US9hhLeRmBaVTwU6zAw})%*A>B#bTe^olXRR4VGV-VWeX-|Tk3$!!oq^*aM&ybcQdx?!@PkN^1MF^Z4lIEAEO~n{cH}b9cg7mvR zDJ(mIB(u^`aT;H)Iva-?| za@M#ZDQrH}ZKut_VX1kMXIR}}Arq>z5n=IWcOyy=8e;o2PKM;L)*<{Dc1;hqbCkj? zlR|B5@IBEu^VqzRa?z*}Ub5Mtv`izQ0M+IIRhr;W+-8Vf!_8EAbtnQIDNz-cSM?c% zyCRq(m*wjV^_IazNR5oGgZk-SQaQQ&ni72y>C|`p5Y}&Kdm$if$P+Q|y{YHx8hW^e z6g%e%rLIv`IiM0Tq|ML}<0aZ#Kr1hl!rJwu+dc>lF(OB{LbeGR6`zy_+1<9urCiQ- zgN>Jsgnynl5zP8?I`iXkjZt5=Z3|FZ}(4OBMd3sd- z?pd=DJmgDMm5hDuNS#r|dntizB!w!@{w%EaxFF9gEv$tJybP(KJhKk1ry(WOP^dlj zQP0)BTIysr1zgQ7xNE7NDTdV09zfJB+t~KoifV2GA9lB~RC%?LWYIiSFuT}unZloS zOYWpdx8fm^W%EWZQB1|q0o%M`QHopmXkh*jEWJ?uDi)bzjn~kD8f9MB)D%p`(2v^K zNMZ-Oaj|+A-bf8?qV1?=n*-?FL({2P|3ZP!w1(;zJY$+yB^B%-%Fz7gy`5uH z7Xm|uUz4>{92wndW6Phi796G1Rw9I(x?Ag}_fgQQ5o7hFO2Y1zV&5UQYB`od+DYqe zLS$&~6zzauVt*{VjI8|(KJVm_dXUf_Ag*ou!Thml!;1E^gbFsBv&Pz{|S z&x#Z%I;G))fVh1>kX#3gKec1_JM^ChBY!e($3j0~aOfi_VZTENT)$IGZ+5pCHJV5N z$Lt-5$h1b$KeiPz`L@M+Pr<(c~B!VB&#d4y|i0D1i~C?Ht+;? zH{4&T;>=`PJ_QQ;9NG}sPbRoyLg!)I0guf!G6kXkll^O)qy%)$Hhk^W+|wpbOyok0 z-@i;w@lfoc*~O3=^G4HyolGYmC4HH_#b7)y9^B zwGI(<>t{MN!P>7WIQV63pa~8Yz`L&vYo}->Zu2pleT}y&tr)s{?b{}(BQ|=v)@>c2 zNTGS#`?J4oC>W)fQ2XV5jM?KnB@be|<$(D`IyTvrT4-fcHWngki{Yd8EInk1n@4eE zy9q+5@cez-gXr%&wj%)H2p0E?9Jv8QvbrH!A>m`sL&g6)Z|^i!os1o0zq9v#oh5lf>|qEbOG1j2)mtd0xMSKL}4#KP}H9N>R z+FRJp-HH)(i=Y>pb*00RA^x|q!6>0QAd2(*9m1Qk9O%Kfw?O(wyBPH#=FfH7mh`qc z0J-wecdU414DEOJXDfw{dT9bs&QWzP9GZK(+n#%!rHq$%Ds0VA3OfzxhC~jTGsYMgHc~^)4#u}`2*e(t z?Uk$x3-txn%)wlp?fbzl3B5MDKW(ll^9%*AVZHxQ@XgQ=LpIDtO$c(vsc9MZ!fZ+9 z=SVl%jGk%&ozAITNa~@}wHJh00Z{*kGTlCKeAJlAJ?sNh=PM_T5!XD{4_Hp4tA`g{=fX`M)q+cEylg{&CjCwOkNJs znALt~tnl7xaeZ1Y(HT2#R%48N;(Be&Cne?jm?JaQID|JjTH@= z`V^X+`MKUg3kEG@CabM$+7Ci~6ndm$$k*Auk8tCaI*4K@Aj5yBD8~ZtrEyswB%X&P z+Vwj*{2wYYFXU4(Bdgeb)XMg~@|@Y9R2?p`+4bb3epWos8Mn=74nKHc;@j*{=c9RJ zSDyanatY-#*9Rrt)~T^tYy zGgv)RAyQX@<)9c76k(G%gQ?MU`(SVRGq?xvWbbra2NB(0DU0;lHfrV?FpB(2Q8K2>kZ48bI0o*sQD%CU?#{hI9;g&G&3M)Q9tu+lXw z1NTqAPA4=lY=w*?1NI_yH1`2Vixq-L>i+2|L4A>DSiM-Gqc^T$0OsxTprMYmmV3z0 zw%S6qXm25Qw?j+wB9|W6-ltMj8IY&FqAPG;z6j}Zzh*_=timK?*VK=FjTVr{qioOD zuSwj{B0t-tjfw$U@;%ZRe9^S&xg|8|4~fczKX0*X7||s)z6p8~l)5qN)X})AJao3;jhP5woZ}xuM|w@@_BU{v~Q; z@SZI0k94gnh)RAux=%|*bjf97$lwr40RyX9PY-Osx?8DNp7#9miy&8R`Nm)6;zSPIvX^AhzOERz$)W0x5o}w(V~C&`>FX#nKR}wpIIed#(%hr{Lbq9??8JOrdB|tgDQpAQ&v^CV)$T@UI{1~lceB!} zn*+HjnG@}R!5_bz3HJo4->PKt0ayK7no%2$jG5J?6;!uhWVpYuktq#|LAJz^nsk;7 z&L6K5tyNlOdpm`O!jP_x9Rk1Yy4%O_oT;6@krvlH-XEMRJk>&$Y3$U~c_n1AquKKe zRp|`mv#gSg8p|2ic+{{WT=`m0<49cDypg$GLb@sB*5eU<$W`TbrHan1Bz1oC`)q6J*G>)LQK83hq=|)O)ksw6s z)eLEd_5cNUzQ3I)o{-)xBD1zdnF87N#f7Lt`5@+RLpW7y+|4*)sAdp110!gjucz*5 z=y{guJh1!A3*|{`_H!WxxBaPD^@@R_ObX6>^79As3?YXm*G{vd2>PIE$#S7bF{kLA z?l0I*Kx&n;5Z4gfOMEW%6%>ksJ%c;MM|@h?J%4)W3$?0>)!jk|6zT`W@2cA_`;!(@ zyVpicLiM^E3PhIaI>hLKxFgDK!06((a#uch9G}l@@=nP1 zMcVLK8uY|VW2@D=2e9K)>~E(t!u$nTnzhF-tF|v_2nC1!Vp*C0GjyI(Q=OXoX1Ru1 zz<%>)1svT8^|4@b*);^IL&K$c)Pp*;6x}yvd3D9*A3`FBJcyT}bE|^(z)#pS?;ow&U{~lB6`wWO&6IWIWNCx=&NH zZnx8@DCl9{$R3AHB|14T1=jtg*WmbEq=`Jz*rUS*`^{s-_2Uy-xtk^c6eypSMxxke zhm#?=`k!(kwB!ouZjVyBC4Y`4KaYau7VPa0uiGMkX++o3bC`5LgmX98^`GmcrIaj3 z{}1}{KLonvPhHHPr$7>=P8w)Jexv4b^nQ-8@b8_X**HQ+ZTYTT4wHc3g>s}%F>Wun-|8j1FoSo$drisdHu-wkr{!rgN+v%^jOjo9< zIlPa($^M=c+T-YoL3+x52VR2U3)pY*cem{n2P-E$kw1T-ko1kQkyQUZPalk(b>ZE%@UXcQj<=7{Mx*VW(Doe#*7eSQ~JhgO6)m ztaX`_Qjx52h@1`iahv34`3NY}QMVT~H1?zfd9|+V^Z4@|ZQvJa&eWCJ5uaat=XYvm z$nAnhhCBb>!Yt&~^)+Vm0W;~K9Pyo*fAO7d#-!+%nfv&#RkfG@3N8@HRe#7fFoNIm z1QvcHfK)I#_(r;}d2*;lAOGz)2SoXT^<)p_cW&>6Q`d|>%4Up*Sg@Z~Oi9Mjl;K7A z6h6DkRd%iPF$<}rHE_guu!_GG@fSr8rRHrUBh`MglhL6 zvMuN6P^P}J8PbCt581)r?w`*dAls!)t0;tSvzE_JEWficvofO>8zbVkLXJ#vSgN>m zKjau%vU|IKjgUj=Yh38f*{HYw66_FAQQ6&E{#Yn7-~A84*a-Ei=BujH$sfJ)+4t)` zfF5_NC|w#dYiQ%OWU8(Cu;7PMSTUao`nKLfU920=X+GS%pO&0;t7I63gP)>1Gj>M7 z?o$e*oYs)NONTZd(u0Q75qBHT8btlVr*DRLX}@!H$b>BG%0!)6UkM)A=#IpxiPvTp zK0QC`h9H_(h9lc1h&UgF;D!`+0??Y+Z6|NUD&;p;&v@>)IHmWo&y;4GwzL!n=Lmw^ zW`~d<46k}tv(o5%8Hxo!Df_dcGZgyI=c9N39Xe(dG20)XeI>LVD)v+;g8IEVs&wS- z;*Hr33mtyMHmJk@-R%fHC{8i6DPAFdElq&Kl4@2>MJ(w>h|>LHo%!^#DZ;crK-(!G zdktg{+s*}O1*Jbn*6Qb%!0s)+Cq(;<6O&M<>iubIHorboPZW_X9C<_FjME4xE}Vw6 zP-(2Q`B{&shgdb>V6_vYQu(LfdC-|OB)H(IneD$^XxE%_(Pl5r_zWqo(mhkw(f|>?@&tUoTvLQwG0rk@5=NZ9W)0JOGdtVl72q~aiMqZoRExNL)176 znU&yYROIh=Jj8g-W;NxEYLI&eEn^6?{I zH5AjlNOm0#c%gu)nvRF$RZ_WmWwf>7jl-kHDZId*gfGDFJwY za~y8(`#~bk_K-|Pl*WdhrM-m&SGSO984ldZu=(bkR%Jkkv9j{i0=aFOR+e=O_lR*O z!2i>=Q|wd0Iw;70t`BjZABGmECdaPXVtWSEB{y)B>|8)wXv8(cR42)+O1)|$k9B)( zhIGx_ zJcXDxf>xCEozyinN3t~D=Hb7wyP-~`5p=iK6U328qsFfBYpQw?_CS(#RN)AyHk=*( zSvCiytHOAjwk`SdaG=&eOi}g_sXv<0(>MP^(1SR;3%aVt*^?-{)g17h|Gv|WG-VMu z1^O>~3?+)#vXFPLP2vA}gdU&h2gpS?w3;+tU0!={!8|FT#4W-`VG1j9|KNhLm@SYfwp@hGgZLW`%&x5PX3u zWOdsQ(7t}H+j$fyizDgnZv-(OrUXg!YoVa<_6$d=V%{5B$>)u_wD%gaB|ZnJ54^v_ zrtH5%ZO;_4tXH0OA&zOnf5ykfSF^N>A*Y$_d5FNhbHG8n?00h1oK85DhmAT#&%=Ze zHrb5QU;n;^)28tIoqu_sx*-rZ%$8v1$n6+!tBb-b*Y`>$>qu z?RUr(ykk3E+IBax@$bDG)-c=RBWkjL#rj9`5W1WSiK z4^nbN$YVK5x;>;`HA$HM{GV6bbO~|w-dDl233*Jj8zg;qTW(ho)Y12I=nwrG(gi}g z)umA%8Q%{^=PwtESHCoXXm@h&g*RFZ+$%J&{!%wW7sk@OK^Sd?mL=uR$j?u)#zwZZIvYPc&&-VEu zNQu}r+uT_ComTu?K!7f3Y`s_w1_T37)7_|HwcqK%d4-K-Rbdpt*P?5Pi`g7NYpCB@ z-FNc(u`Lm0FcqeBw$XPEPQu1!XWI=$*HA-izk>#(kn&i)|L|6EQzjAC<0W?9cWa*AoXJmZp~~f96r91(U76866R$ZZEa89&olU5?>xBq zSuX++%xx{B_inxJ`v%j5Y_segw@i@yuDJ|ve=;PhqsZj64AzZg*&$?%n%c%g!DiL( zkX7l(l&^*w#A5avWv18i<{YxDqbDDtoQrJB&{i;VELuMq?}m*IDU#~-J{fFfLDkYV zq7%KE7s*xr5KfN#?Q!20 z>J;+voTjtrgpj)HNUiEy_qtHNqS$;U#qzfE5j4`*Cf;cKK}uNv7nxqEPU`*8SXcad z_RO!YdNwFG-X4%mt{IQqo9+JB@pzF;8OMvjoPXbR58JTSh&iR1NIsv94o13G;}Ly) z4529TB4nYzI}#V+>jgzf+bW$Ng7McPo`+iA`nE4x@yw|OHKfbueI~fw3P_}1dS>lU z@2$aKCL}-I5$Mx}R8vQKj%0fhGEE!rIaCSvYxl?b2A^Ym+%f1U$oV2=h^LX6?{_9@ z!LMhIez%WtD(BVOfR>}ZNDrNqJ!o_O_C%1RnD`vj8ykX*-krVslK$G) zM1Z-dTx3{nAIPKF;hqH)$G!*-=i3?=_GE9mk4DXSZuP%C5haH#uOW;>m);T)Qul5ZL`1?DkHC!;mkf;MgIJD$!NDB*c zLE`VNC27qfk@|W{HbQtoztbL{Z{Fo$8J2g;Zq$-&mvw5~ zUW82V`xW-OSlN1=itZ(Z^zvh^;p3bap>)hzLn`7CvR<1U&4;0kX}nWU%Z3!`6f^VQ zQ}gIVs8$4L@3LMG{^}C4pMQHjVA4>p;X7Z3GEvbyL$6CML{c`!Np@p62|~>zx?MruDtgEJJN=XkUu1XA`gT^WkW*f4NR}6LQFmqIM&85Cv{*Ri zaxtXH^FzdT+UQWPb5Ao$6uO;1on#69PB(^(gDCDy(BQpqgH-W7u^qj!50E53?2&Zp z@7(Dq?P+v8$Lw4u^Ub$hhivH`>rUai`Uau6_3g;a9i$v`o)_7ZSznIwE*~A-1h=(9 z(Vn%2?ARSQ&gNy$?CaD$0oPIPv^ORBc?z*?Es*j=-|$#l-{87e4~}pCMetxPA+6dR zg{xAlq;we44C&HhLRYUS~JsA7<>#{FLp!c4dhjk)5 zl2pyQAZ9ZwU>h!~B7q#@%}O3eFd=#{+N^bu6V~ zqeIa2+I%pjkNJ)cERbE#z!YrHKu|n{>>+&TTSdM7zE6jj*PcNGMXeEGc*nY)%<+q? znr+{y$ErQZ*!zTig~E;D_IfYnWoJp@Aiuve@PEI*QxK87zXL^reT8xkjf?bWd=Lb0 zFEThCU!)JIA7J3T_r`Iyq4*tYL*5ZB5M|xgxLVoDLRkCWG1f^1c*g*(Ozm$cxjY+! z3s8tvxPVX#DP+HrjlsT?YA2^?(RO7PNe-k>+rnW6vBFmW%KetZ!H*8UvU#h9U4FTm%={JJuv(-YCz> zaLol5#|v zG$6hDGeudIBvbU}zmsByaJ{wDnFJi|NDIZOWC;7vMW`^b-=RFb2wB7K^-v*dzA>@Q zQautbdeePG+1)wUP}x2@Nj7`80d`|kRCKOpdv8AK0~djI&sy8-?EDUdUF-1?O|r$( zan9I$qpambD>l+sHES@j8iXJw^v<2V5wAd&vAmtA;PYJMPyxPQjzY@g0X+G4!*;Pl z$(%0Yd>cd`sVln(LWcHCPnUfSWSg_rH%j{SI}~|0L&lB5f%Ik;G08JE3;FCWdu(on zKk1OkZ@YN?hI&A{y+6Y~6iBs`iMoLP2-)l2p21t$E^=}!41vv72xWVQJXd9bYLb1K zdFNZ&CV5s5_LsA>gaVU#=MJgz%SH#5ojY!%Y!~an2^I(;()=QOD#tTNGtv+)_7~Z= zLz{2p!Hzoy8Lcv#J5TN!1Nckr8Md2Lg!ET20i<76PL99iD;Q&@RUt@bJ8~`6b7cBH zieVo;XoMaOd49ixGU_`9+`JSfljJcB6gZLPo*X}{Czqp{wm?PELANglrMLl&idZCrMm z*GIA5#sH$OyGjlPvh@wVq`S7E_!!n2R;OC)6l)lwI4y*Wso1>{D(ks~m_j?qz9wi4 z_DSFUnUrG;s7ki)!)7&w%*S>YLL5oGUd?YG57{3VVOWagXddpfJE9F&r;WkMs}eF_et(df z1DRHd1_Cvdko7Wk4Lnr0_T?15&tH7*AXoSDl&agwG?~6tV-0O(GNi};c9Q5qGyCxH;{RZwr*h)%BQ_jd@`6zjpracXuX6YQBTj;K?@_j1o{q_yjN zI;C4LUjTmK)^s{k%!iiaCY4-WNNcaI2p+_}wW~E8+x9!h4S5mNyrv-^JuVFG=F)ME@@RceqmON?l<8w<|CtLA;L63JSt ztYIPf)uJEAsXBYi5VO*7ZP%pla|j1tq!S>}OhozC5VFNJulG4qUu2}xV#dR3VMu*+ zHm;M`ZwL%sv3_11>4Qw2X2iq%O~<}iXw{=0=fAU>Y-OCC-F^oOQauB^iH-GC{O7oW zOS9HUl~8Nw^Vztqw+E$7TMJL*L7t$IMSVHTTKrBfkF8*W&GIZ91=eCn>$;n9uX%G8 zNK9+8VX-r9&7D*~?c{LLS>I45)hRP!jCv8$M0K7L0JdjJj{S{P@Mk$P#gk* zUFQ#pWRD>$_c*h%#lTLQwQk7!PE&l`V2g+o5cV2FR*SyX=GGIXrQ>%7_jis`kTGP{ zkh^bI=OLfa=nxFGUQ>mxXD9@=(HYWj1aXfh#c)Yqo7HTV5NTpM>&FoZF=QWFveHJ& zcA}rKk8C84Qyc5GSFmg(ct+nhJ#MN;R@y$?lOf7wJ0(buS?gR_f6t^e{28tzvIghN zYy~o!?mvS#?c3|KZq#e)A{=QqT7C)wtDUEbYDcw}_uRAhLE%h2AS`daE>HM`*bZzh zuph}jKxo`n7V;8WC8Tlso7Nt7Rfnk2o@ru^Jp>3An>zGSvJc3~dc7W`NiXd?{V?Ji zvTsdc;Wxh(Fxy58+dn7!9eOGY9~Cr5MwP`yCRwsE7-DQYWI8_M6UMT}0I4zi3WwBH zcbU4(e*jsL?wV|)W>+NwdAgsj_VSa1z?^as%!qd$e90Gqxx!jQkWRmI_KFvwghO7BH7p_kA#u_7pfVj^Pv=YtK`Xg9?Pa9`E1FvurKd^ zcP5P_hc|B&o4qB8xsR=Oyj;l}$;xfT2RVJlqok|3Q&4(%-xM}%?TLt!y}5=Pp|biS zwRO8^QB0izdCYT)lOViB1Q^Y;z|Vx=l5X3Z?(dZYY0WI5{j*CxA&9YTuPRl1X~*B& z&4)tjyJl5^Le-u@t2~g$JqvJUD*jV&9NFmL1(Bbe%clL#P<9iZ?c8nlqR%1Af#O^r z(J4&;yzbC<7{Xz25$c<*wI$X^q6qu2w_cOYrij3~BpEVSx$B2wfj66hct+3-iu-8wXB71%5@~hf;}jEUE$mBJ_1|F; z>vu>xwb7Y1Yxa^&k!?ihiup!G)37msxcIgPlZ<%@4jZGMY1@)}M+YJ(oxzCHm?vvn zB}@DDo!BpeL44=Vb5d_#q&}*!T-(fT&5BYi7e(^X-?qL%wW#=Z8n)Q*e-Mi<;_L%zn!OtLrz&b#7o>?HN`v0G|0vJ zhG53+^&t$g))17uGh{%QX5%(Ot9c#ON%z?eWsMW1>h6C#MB5js)A#s}AcUUTN4mwc z5LQs;2!tr^Wh2!`c~4la?$ONR!N6x?c|scr25#bHZ*4h9=<=`>hOIe_xm(!)lXbCE zbDS*UK$81=&$%Py$=$uWS-Q(aRBHEUTI-P&mi*qhuNYOCizlRKZNHA^yUH=AN_Vv+mR z7K9y!l=upiM0;F@wV`MBj^y8zQdJ@Q@7M`=zMb+QVGp!9O4_w@G*K+DZ_4t#V}Qz+ z%~7g-wX%>`w>|N&14SlgdWuJ&a@MZgkX3Y~i-lsLs4*M~H9L4am-U(}rE;F2bWVBagD{LO%UW{kbvpjyv73?CAW7`-$VtLbt~-I0_f6Nin_r}vhB63rY(jEQj`T;fml^6&Y=ZR4kEd|g;`Jowsc*32 zexmZytzdJr+;AfKLlrK?xlP6?%F-EJ(#Io1VyAMc$$B!2nAFl0&+J8Jk$fx}>*`w zWX1YnudwVu0$-|*dJbpri{SrNg&4KI)&mIkfAwaMXVXz#t2!`f&@97ihN9m(t{-a+ zEiBcjF|8~+5cj?+>qybGOg}i^REY*Lh~;QfEU$`0l8QgMhEohCR2o`_KJ*)^Og#z% zmN$rgqUtDzp9{oqq6&Dy4rck55ZwjB>^G!~S^gv~SxqDsdQ>r@Ibv^0?fk7~-8`1z{F_2wt4dog(w^U2f`I{B;e*sFW`xN}`N=|f5 z^*i0T8BU@6iuZxz*Rr4CJ-H(aEvRK;Qofyj)yCQK`4B`B%fS^TGz4O`iy+GB`PW5j zVa^lmGGw168c{lKt>w6D9(8o9H-pMRHN}*!yn7-U;8pK)4rJ>Av_V5ieQZz6l!U0} zdm0zWL1b-^$}_t^lY^0E5Qp%*_4fOn@u^nHbq1dUF)*vs%SZJ3B1p)3=6tXYa}Dr{ zQ7*+|$RK!W>l6-r&3MvfY}G?9q&0^TV_B~m&wo_Wug~b5tgM4{>ZH8p0Tb*Z7@GFX z3a(1*$Kf>`kP(QN09kFXtsoWhA}H4wLL^PULuII z=w7@?CcX9|Wm+T!Bd0}cn9W|tvKO#86VfW5s+i|ysKCIm%=<-!fK)Ix!ZLf2mV#jh zp*yP-EJvpPBKw|ZRU{Ay2SY~B)#?{0UQ^$=%XozglA%KQP5Yg>VlC`x=tkFx5uG*|GH zkS+6$PCagmi{MPRTDY?%3F*E{xVw))a{D|p#e9h)tJ+RMcCXfHt)D7|dh{|b0za7{ zl-!8lC7Y@tDKaV8Zz@te_B)M3`65u^7=nC&xPjL5>Pe7RLn!1T{lkpF)l6?%+GF_? z`$S&BnXrvCuZV{^@K)!}6XCGh%k<;cD%?Z7CKA%9gP>IIm5=D%ilK=TM6b%+d!jg% z;Ip(k%56c4$%g6yuXE+77k3ldm|hoJP-s{ zo)_t%B6G|1J{7&eR+bZNIxoU<(lhW^*%%DvZQ!Tx=I7fNBXkKbGL4<})-LPIB`!%; zsgB(yRx~a-Ry_^%o?4^6%PPAm-@ae_MEM)`l+H1JA{y=^93A=+KWKq@74Oasf1Lockbk5WFgt|Ha45J?s*{pAKgdOr6g5wwAtQAuvvbr`pUFdEV1>=WX1XkI5E`i9kEam8&QqdOV#X%Z-PXeKQ|lRGq1LxU zR4?SiSA$6#oU$c(CEDR#5zi?sLC)d=8JmzYoI?erJ&jJ9!_b9tXWkP2~#n;4KLD&GF~K^a?{~MO`3^> z0d1`DTWYL32DP56dds|(C|FG5DFnDS4px*<)>qyxD&o7ZQO28VujU)mm?(32p2!MM4}kJ5;ecjb(F zRM&u-gm}|d{0+oQX z{YAWFAB+^Vz6eIFo*5KK8A8^i0+WT-jlhVZAYMYJd3hzGLQ?>asEBL(gDVTAj=Fs~n&aO{l zicTh|&y0hWFkXJuL!8O;iP$^rThlmYsX+*plEay0$X-xVDLG`%j zWh`S=M-o6B`iI%ueuub*G+gTAeE11ZlzIS1ob{k0)pHTpYK`Nw{`4_2zTaWUTA?Dt zIyIKj{SKkn!*aG~kdc;>&id%fAh{DdL+B(qvJ`hllfYEJPQkzSgM_Ayy=hnOKI%JT zIs+=o=jt1RJ;f2l#6g;W1p?{pr#>A{mWvbwxD8oSBSsx*nr;O$ z^*R@z7PnQ8a$sl^vGp4BGMY?x3}6s3<&6B}0UYEEe32ZwR!3;rkSfk>D%_m4n<}bM zuR4{jhO8ys3j7}SrV#JtP_G*Ai2*_|{~~=Qq zmm_w65%_MLSsvoni=<(ClqkMQZKEGofbqI#laBaQ56I3sr@DlugRM@<^re#upRpOIIcjv$i6j-yb{8@>?{10L#+AZOg_qP+CwT>i>=hv7R5KWY{UU@!rx)2b z%C6r*HPw31x8W_#)Smp@#@`%0AU)WTAdMWPmCLmJsazjJ$aFB7JjsEl9-p#w4FW%c&tJP7fG2PLLlOv z&f5~Rd`pnf={iY!b~@vr)#x;8S6mrr4|X7rTWO7;t5lB>;&q*&@Qa}?wd|xt0ap5_ z8!?^ljqIIJ2rNjZCUg!hA+@`15}Y^c0V8Ftk+majW61HW*Lyd(9_OR3Y;zQCF6l_` zpLCY^y|0HlEVJ5FWEvK&-jpR|t+mK}$j17TVy4 zxXh3mC+(idkE<{t!uQkc9;PSJPF1mxR7};QjmSy{aqR(;IJ6cjMcS^c1r3;x+D$cr zFocwAD;X))>-DTqH0OF~^X+6&Tm;pYcdR??_IgtkF-(!{>0&pg>Z91Hx!)nq9~@V< zkHVU&-G6V;!|VgX9h!+GN!YCF=WcTmJP_6z+83ck&%tlVp5=E|L4Iia=r+;h4c`bd z3x*)qc@bz&?3w+38qtC`&lK>gSkZ94jmOq0Hh`?BeW!hFPu%NCUg}eJ=txJd>>?Rf zc0*d|;KHeHUz>_GsrMGr`5eK|d=ShfA5pG>cMLL2_4W)EKt%|yDMR)uynQ(m;AKr^TzI z-^tw+z$s~0ws6PGU^+I0PoxBY=muQVwuS!ozD@ZJdA8_5JKl6d_CPKnqf|RjDU`T# zv_W2LYk@@kK=z?!o&}P_@5$7-Alt5ty3E~o8qB7yol6Q%y-1$fdjLmMAg%fstWa5K zgtKh7B=PpzRaEu(+McW3%3+Y=+N|V_#2B!py*)S;pBLf&W|qS`RRb469rm47d(9}* zyq$Ed_f3hoUJnMP({2&(tCY^ucIuSg_aPTqUv7Wi{L$aur}!PwE06Rdr>y@XX>@Om z`m+hO@w-v~ET)&=wbs;cX8nV}%-X{<938D8c zJHC-G_KxUb9o1KW4ey;hhgUP6A@FR9^EoT$=U8&@UGvni-kon>s%lEs1JtbU?{o;3 zvmrxK-&5St?0rg90)UvJAO16)^^Huj$68}rt2KPy_C6FI-Vw!wd~elM$cw)ho7MDO zskc|IM?0Lj$q{7(wNq>9t@T?^3({T=m3g_{^h}2F=|$jN%R-E2VIH@E_5*H4$-V+y zXljj1q&+i2X#)CgQ_(!hU^m9U?e>bDmXDh<@B@3Vk3lK~ zQkfS)a^#NaKJ}m1JGqgr{86YK-#9G@$F-0&{hQ7t6Q3%mBYmGvki%@;K>>0eTx7TIPm-7lYZXMeBU^TEAl8=M@B7H0yYb-#WW~HY$ z*PWWOG}Sk3n%BDm>2RDF<&Y*AG8K2mimKik4nh6SvrX@JbYM2nxt+-yw`brV4Wzsk zND!EG#rXdLsoHxfFnDbWUQ`OKhvw_`sUlXO=s%i_Z-xp;QDp;vF!j-m?4I74SWa-t zkjS3F|6yKT#CPQv+v7&5y&*%{GkdK2o7agn*S5g)JH+s;*8^pEeYv`(AzZA5P-<(x zL%N{ehy31R2#JH5RnMyc0kR&gQ#d*tAtzV!VBZSfeJ7uwT#0%8>Q+!h+2!MEi2uG` zoJ=&SY5l>@w9g+UeM*7R}46>({R(-9eYDkV~j81LP7{3VGx7uAeO{@p# zb*MEQeZ!C*r&&F0fre1#qn(fFogpi%Cqk_6O#);^-wyZEi`2LWUniTrDdddW;|YIg(7LadGwWGV{BDUVSidvNG|0CZw2!SokS#I< zFSwBQ>GcdHYYyjE>w+^OrQb=LlFusgh+CY_cB{_Nhid1eMD^YIGTbvdG00ngZ|@m8 zldv`rS9#yG5N}j#ExomuAWgnbkZhxa6EpF64QcUqH%l4B+hYXNq#eL8^c4*`BcZ(j3#q?Nmz% z>7TKtrYcqm1&xN}xJfh|M~@+UTI+rGe{5~Tb*}T25wJ0+N3Tyvd6ino#vY1+?Flmc zoU53C+L6{{_iZ+cB+H>r&ln)jNcv0-)gs%GD#>-)U3Sl2FExm~?i<;IXg%1bSP5jW zK7R%ez4pXvy7C7J`>j83mFYoLWxDs?YWE+IpEZbXK=Hn*QUl_#r}#5ftS4ONM)4kG z`S4jJrmBnLT%6n0*~%E}lH)6I6xlaTkwJ8_I-89(gylMeDMPV0<+>V3dYSH+J4g`6 z89aSf&8WIS$mC@qKdU76#L_(*Xs@gm_H}91^pH1_r96YR(Wb3!^0c3@P(G^!LZh%< z%JV^nR9mrkhW`#BDOrQreYcQgrMALOTsE&c-*t1v^m=T~aqy{chZWQJx41jQ7XEMFM-_R%P8{AED)nJoHp~4&c|%pr)RWZY=faX#7dksrXwQ&;>W-fASlBm( z(mb9iFBAJA$CKh>gA9CiN0fO5sqX6j%>I-~NLqfDkTib3PdvGkv$^}8JJH(+OTsWlOy~?%Ex+YVOL@8FSod+^qD9afAw}jQj4zuZ=PFrzteg5 zy1K=ct8()=0JELwTxQ~T_I97l79;IJUm?$AW4$-3HS|n*Om{h>rX`!=&Iz~UXAY!V z#sIeejG4ICdG?z`OK~?Nh25Wdt_sF+T$#^~Z;}FO(4E`;C&le>FP@qG2G)M71eclL zvmRx+AwXtXAl&r2?hEK;+YbA$_r`y}YbMfn+HajszdMgSV(vIsGRU^3YP`?OfVz<* zP_EH|I>wML=9h+5Wd4JEYSrJbZTIcf%exj{-501{pYT0F@B30*XrCz4eKe9-qZ})W1obiSF>S4CM1ri zhO&|Q`KX$eHv;z3Zhd1is|U?kTtJ8`2*DfoBE)vpT20x$9GZ3V59%H3l#^SPweJgOFws+>LBgLOnn^$-XvKqE8?xduu-{?ZgedwclBP z)LE_9qeNVWYTst_;81p6WDJX!zW@Ev|ZqR_skFqhCUZ(!5B?R||_X8-Jc5`+OHN ztQLEspm()=$YwHDPLQb>Z;+k162$15JNTPzUK4wX9-LGSL#C?REB@y9jB%x+B5FQICj)|IWO+Rv;KwFR~A@p&sBw9fovy z_iEO1ju{R_vx5l(~zOu z2olyT3o-R3hIHi3vfT?HTalqIVhWJLLSTfO{enqexEX{)mEgVB%o*D z;Ih^x9uXnc{BMWXR^wJkGO*u)SVqsFZRD*DMY?eOtnAOg;}D2>Xb&@6^txA%sFC`i zRbM(h1BNv5H12y*^Anxw%X>#h>f9s6eBT(`SX~Vwu>`8p8N2K2D zcrv)Phu|MF+Y-4P?W2tPviG5;L%_eFv^_AGcF)a*#B?FeCG?5$k&bM`VuP@SivE7n@jciLSf;S@y zL@{xYI=!_l4@Sj~G5ZZ-w(CFOPLS+efZ|N+6wBSl`qWkHcPKNpzU8j`_)2cA?aGi| z)gSWM9S}O~rZ-K?vJfF<`t|KpxWCBm(7lCLSlYb@Bx2o_byyc|bXs_5E6h0l3_9%h zE1VkTckWQ&qAy2y!N1Qwp7lQahVMHc870vg<&ic+&{3a4s^!dqNPqhvb_+dv_Co5g z8jb|rh2{>GIF=uR-jvRIYPHO=gCR<*p3)GzNs#p2^gBnRFpx|s^j%qnYI5^&AqIC( z3<}_f#f;FrzP1ZT*2|#}(#DO27Bsh#BP^|pPOp^|_lA`*QlZTU`Qv6Nhs@+1os>~*zX(L0=AA5L z!CqwKY4NN?_*6(UP3SAWbI;RL1YZEIu@_T1%LN9R30p+5s&j#HH1hsdmIqyHGNZ3Rm{7e z49R(WCvKpD@^q zoGhe+G>B+C52cHTiO7Ajxbyyf#lT?I&YlT_%){*gmsro+=#TjMh{sJIw-MR{g{{iJ z4|+915aRH>jUwh3d=bTVK9q{C?ShlfYReC=fOawJrrxu$u=(HH;Xfd%)F8ded{2Z( zLHl!-PO~Ozcjx=SP@TRX~4@g($e8Dw0{dY#I z8f%LBKZvUFN;_KchEOjoWS_0ckfqwba$MW(Mc~1Fgp5~}03?a&uAsbD{h7VC0>LP8 zBvySRtJ+&Diq{vow33Yux1Ow7W&B7WZARZn3Tq!k`MT)oyL&l*#B#F-h!q$o$YV%kAq^j~M3<9?X&)QmEb{$rwZBoz4eVTiDzc@HP?fEfO7a={CB4Kceb@rEf127H}8Ed!%@9gDUKmN=R&qCos?0Xd|A^M%>9t;SP<=HM!`}*i0mvd(b zgv8Cp00w#4KlhU1J(x3YQ^$+$$a>YzSH_LPoI}j~LKY z56Fq}XGp6O(#=vWCwib~PAan@O>8j*sg~)#)8(t-t~uU2Eu|2uJ*W# zuTPqQ-n0?>Go-ek-(}YBt%Z6>J^T>f>uoLkJU{Kz+EDc;=?`unP_g>xO<};c_u;fx z58!RKvHn#CQHSa_EJ+yQv!mSK-W1+d`Nd9AvLiD*jR`FfL+Vto)2H@wBVEjwaI^S+ zaFQ0T$BgWZ=B-8L$wrEKbvLq)7ClqT%U(E<;T+)~ZIAg~$_f9gZ&WzixYfMEYe*%( zz3Gn?8sB8?{yY0Ja_7N`>sa65q7L8fcr~g(dPL>-KdtJ0gFEsfdu3Pe8zjU+(yP#Z zieAe->*1iW=<-H#M91N2}G^{LA4%4zsPR(v0Rv)b>BB#vp+Mysz9 z=nU`spozHb%aIhK)+m&+H{B~x@r8W>s=gmUfUDMePCj=K0*n|K*L*{kDjVuHd|!sm zgY@}}=~=EvIfNIAS`jI;eP<@|dQ=RNVsCn;_C+XD@@I;87B84_R6W{$Ud6%I}|6` zSHO*_)|%<|U2$d29mJ4)4A28xLh|Fg9#ZNg4_*tyiDZB*r5HH!A zrV{o6NPXN7JjI`Ban5x(6TAmq z9y7?EX7*>gZUy9g_?;FGKJ5qlT8RGuVFqRPI0Zq zfl2Jov>59F=bisfk2}U-%|4Fw_?q)()R?J(I68v+F+2POpV%3NO6h~k;5z>>LKNQydvIE!f zHyvZSO;F zt6C!@=RH_rvZ==?v3YrAZtaH0`f41kl}HWo{d)*L^tt56LD$c6wijU|M%MnjtH_(nDDxFo;;M`$$pe zid?)SBt%>Uy#V_@eNAx;WYp-aZ|Jc`rC@gtEf*VAgL9|wH8)C$Iz5W<{Fyy@RdcuI z@KrM4t2js@&CT9)G%1_38<8`l>qf~=oXqTUBd!Sh4rqWun$u3!DOdrnE% z4AvlG#WrpXXjG7==Ie**4E37ad7IbUfE2F>1>4zwrv`r&GLiljCiN;p_Cj|gG)7xF z)f9$|5>@9N21rBpf(~Asr}*!n7ajHWdvI+1kXjn-H>LVF#euFdn8;;15;eHx5GWe* zV@2C*BKc0ST6?*4j4h^+d-!XONTwkxDpLz3Hp7t;JU?p~yJR7<5_5;JTQMX>bvtBo z!=HgDL~rWcwHqnHWJAEwvOwyo@)Gh4^LcYj<@gHIcnS7QLT6iFZglS(j5qPMo7?f^ zV+Y$3k&JFgmp`$w2id7vk!@9L8G%qk_Pam7RbpQbs-hn2=M(+MBd98EqO3Nnwh=#L z{XCxtJLMQZ_JL*f&V|cRi```#FNQ#l_v6X3`XAO=@R()($(}*tHg-PBObuBvZkfUy zduHF&bfR;KE%r^Ru!>zd8|PJ_7Owtw@@ZZv6fI-#&89{SvVyIQNSoakKt@#2FJq~K zwl6AE^O0vYB=VkcrMVSAWv47ivcc`kHT*|WZQPUXAso&*PG@3Wh9Fz4v%Y&S&Z#5T zS--as8w2=CcZ3b&E4W%T6;DA)`^-B~Bowov?D5Bd3sXC7nNyh#2bc9WpmUi;{g z&nkw@_&!v>`TOL(>{X!*MWFQ{b3>Ia22jZ zfA$66$AJGs_mZO*ZAj=Z;8r#*tb$0EPC)pkZI5s0sHrtF&FxKlN^vXr-Z5Z4i#rpX zQ#N}?3jPpc0_LyU=U1@bkWlDja6-Zt!MI$8L=O64$@0EIg{!LBm9yEFs5{GRxR6bH z`_>QH{6$E2$%g!5EbEhVikTSx-bGICJFh#wTOrVlBtwQ&TaTZ@)P9jg35sz-|Zk0E0t&Jk7m z>D)!yGA`?l{33)DZrKS4$?eZ4MA6=hoP2p9k5w1qRhFV`Jr{QC!9u$7A_TGD9*pBR zxCk+{cZQ%!sw!Y!Es6(C})7wivDn`kavTwS#qoFxE&{?tHL7-wr zYnGvp{!nkx+Oj%5%0R^HL9eZX9K$>P-Y2=Wu@T+g4`Qty^{E)0>USCH&074<@~AJ_ z{^29;!x+v;-irD;gxnrSnt1c@5qoyiBL7;yvzq5cFLKSbvXI@gUK1ON*^AS`kRrym z3JJeOW8wPKxIs#5mJqJb_?=X{%^fzv70)!!N?vF)YGolnHykO!Sa3w^44ILSL@$RT`)>@U`zd&)#oDf(XLqy|hmgk@CHR#Q>vWqC&j%^7=BV}e9_)*f=FZr& z)W5=rs=tAfP4;n%J#k>$`I|PW9v>~DQtnAsS`~Dwi z2|RZ`5Att^MMvIl)hi(|agn_@*?zrFrQAjG@>|aO-JPkd80HCHNc}16Xg1HZpcY5f z#{1lF{-!DC$W(&u)8^r@;NtIYS&XG({CceFn#2+|Z~7 zU!VQ@39c~8Jp86drk<=CYQp^dc~Xy>{Pd~vSx+bjLP+Vpfc5;&A5wX2EljS(%C|&a zy*rX4M-#`MKQqO#R`*V2)smd(Q*$Tn>xP{&kfKM8G^!1b?2SUtz^&-5{g`YL?0&@& z@lxaL^<5b)k{;FI=ubORM$~-w;?@&X8XBDfle!}%>csKyI#MFbjU(9hcy0n(S;XVI zk7x?U4|D@QBN}Qouu`or5_b%ej_iJlgv*L7UPN&XnX$HyvX$Fnp>d*^Gp$5U@IILQD;$d-r0iK_RewjdOSK3K)eC!OpUGLd;nkU-K%&kS zlf*pRa|CnWIdE(bfwnk)Cnw`91yMwRO>p{*r>-Tc2iCW5)T#GA>FZ3y8hGXn|YdtiO zs1g_3Q*|FD4d3^Kv?eYggzZ?Iq4SAcs{bvgUu zZMTAnJXcigOjB+`#w{u}kT@9rJ4q~J)C_qh*~$FSGkdahAA?7^)LaLvKZBH$Dyn*E z{-$s*34w>)dH{KoDysIu?em}w@$+$2^$ZnCZlX1!?fy&?zY7=NVfRz`a-!GCHTVig zbs&2aF$*7R#u;_uWqtaVit0=HIr(1%3OCguqg`lU0m5`4N4~)jl7fX$3SdZIQ9oD9 z^J%R=(HhCe-h-B+>Mws+aK#@Mh-OG{>w$;LOfbtkI~`JERhhi0zNM z>ft*@CGRzm=hIq}o;dZI?uVU(MO0Tkt9yPXHB74H9IO*ZBhhU~EyJt^sZIBWb_1nuB&KR|!If}E!PbN5; zd`-S64Am3KCXGckttR|0-0Vl3oDss6}zol8_@hTA)g8{ z($ciDj?d55DM<%+L@77+6A;~`+iN`i<|jWoGHR_Nw`53CHUr5jUNc00^rq#c(l}C8 z2~Ad+ThYfryhvWgPtLJ7REhJ`w2a!m{kezjRV4dr)f4?}{tSXd8tW(9J!`L-V25B!vRmjW`%tet#Hog~ ztR1%Ce{k939>R&dQkA+paP%b)WM4 z6M`P*VHzaDh@S$kaq}J{f2wD)Yua9OK`ZzaDjBj5gIK5CtD46VmC@RE)*$j1qWY67 zzk9KQ+s%9I-LH2!)pEu4DW0)af zAqTffa^>ejNPGr^339nF0_kCJS`6o!*k+!zOv~{wdBkR|ID=0%n;{g93Rzy`$xT`n z%5Cp%c4DB09h??$Lt6A@+hSTJ?aUTKY7b5rj6sW=abeZ(a2ne0Q1T!A6m8l*+R04Q z`^-rFe=g~O2%oJvsOc{Dc9;07Wd z=FdDi>|T|)yWKGn;!P2t%(7(P;p>ZH07GXHF1dl z3@LG|M#nmNYe@{X1$Uc$xotM4xX)2-X}?qPe(Elh+`~ldT^9bJqQt&j`7xXggy9_N z{l}A4j6sWyw+25&aULeT3}OsDMKB)U=13L?MBUq)eTBVUYp)_A;5|rOT7*pZQyftO z^{sO=F{A`503y`3i;-U*Tp;@)&!XBNzxg zpPU~=o#j8MkDq`QQS{)!KxpnoC<7Lw#K{9OEd_6;pTaR{7sF)LcPEd`BXtXCeCQ- ztY5GndL|~di$ccm)}ZW=XO3!rdj>a>xF%o&c7$x|i$MM7xvfv!zzCgua$BG0_Y8sa z7wPb$2wA3MgTepfb}ZF>Pbd#O^4Vc1dglDTYX%qM$1_JMP5&WYXG8&A3m@b%F8Fdv zl!HHUd6o{ept5@jJz6Ci0kz=4IEf#IgsR~QBYKtT`gw6qXxNZBgNKRmp4Z0CrYc54 zbsjIlp)V^9i4(H|GSzu%jnISD&$SxXErdok6Zh9j!IXjkwzZajx132jpH(^~V|~mX z&)VNg5hz@;Zd+z5K7)Iv)=!OeN*ZhK6wY=rgQKHdSMrMx?biO5%ZCpI)nn}k&u}{= z)fp^113!y1R}5vj@vT4A`g^*yI2Wqd>7^Vx0%UwAed~^_9i8Ht@=d^$mCK#uN=hUZD$0W;Pq(9s@Wt;BZhh0UU ztUYr~KNx0_96XK4hd6ge{qfnMWbZ5#bnkax@MlP94dij=KEB%u-aJ&Q3|TpD5=V#D zR`9+h1c&6OmM3#C1w&wS42Gt!_?eaa3=b_D!=LKUDt>b0Fg&)q&6BLLu3v^3Lf1O8DsE3hR#c`I1ZDh9G2g;x z*G=JbBRy_yF!FoV1@y-9$gJ&+5VrX{T8BAH25X@UY=W6h>?aj*bDd60Zok*_Y zpPIYyza7yAKZC{4L;;h>SU6B+L6WI%Ss;|6g->W(hUVX)4KadYmwD|a_o*ikzp<1< z$|_`-<|F^u!v|Shjri2H$+JLhpx5Q#_YV&sk`IQkKM3KjXviqxQ%zj$3|Ug};)D3^ z&k7Ke8vJo~*Su-TLaOs0P{$cx?s=A5Tt}wlAjjbHXMSwJnPLdH(w<;MhCRW1(DC?8IhE29TfkjBdU#YsTvnNRair}-3(Q+KLe4AkWWr9`$W7c-iPH# z-0L%>7G5{Cuf^&$^&Ox3ENK@)5b&ub9sP+hfFr}^HHL$F09(IX_>bz%plO(&`YiGr zgyc^(-rUEwp2@C#gHl9N6k*%*##BtjPSqZAn2EjmCaH3mn%gh(i}ocvBVJ=uRCz)| z@TVSwnpGi_wAmLS@2?AC>oH^~W13^7dA-ajdAZ0SXTXr6JfVa59gs!e1L7tcri5AR~5>T6`uNYPTBi#Wshg}fn&cje|IJ#IToWNg<{2sa_;{SDtw~{tNZx_ z!>2q8nal+9EYvL4I>=@|zNdZet@x(PeVwgOsTP&PE^a=Q*&@&3FS2TQPT{=s4Dg2p zT_MfmOnajcdk2WKLqL@Md*%~&Mw>`y7IZh&y^}5OX8V1X`yffc3}kqmiIV#Mo7b(m zA2#=RQ>KBv&%V5uXzlU*M3^^qnqkJcPj&7+Q8RH{(Ps1YBW)rCKdvEUF$pPNS=YJ6 z6(MAX8PXy?mriET4;kc(=@}SI%V{3l@l!J|N&v;X>f@ofOx2zJ=nx%eX zl~||AIX>BlpXkB6W%KT%*rQ(O#}!9Ff~h#43y&c`pB;T6-dwjWyy~M@T?w4B&42L}OK{aftgKpNV@< zy7LW1!SR_@k^lA_AFs{pHMQo@{AVEvS659Ww^(<`An3@uqm%ibDw=tA1ZE7jmn)bCI~V70#J z?cT3FxTSfG=Td%R-sZjg94H{Vo)V}td>%B!5R(vc5?_P^RXu=N+ahXIkQX8I(;6~< zfqa-E_qUR^WbW&e9oUdJyWf;3Y5GJ~#hwc-kKa$xN!1)Bi0C!aP*C0X>20_j(%|B} zW8InX)&nxR?o4FYvO0-ILXUcYr{CU`d$D@(^}4eg4E+wp*!C4j|T9We%O^TArLT^UECoeSs|mlR{|)&?miNj>0-VYjwz)JJQ`9NP_<(h-eL zo5?v7(6B5qcRY8W)YceLj8>1vR;h6@N5|)Wx~<@t*Ue8_#G3jOYr*67=tXJ2{y+mXE;aHo^6lzYm^y2Y%#j&9oLgH01fUE z7l9?jyli~aM<)i&8Iq*U3N_I4@yx9{<7E1rKjjee=ro(ICjsMB^o_h4k838`JNwj} z)qSdDzLz*@KO9lV85O6qb3d0~e2x?S!?J@>Fv1G(+#&*j<7YYakMFjL|KP7pL5T3) zv#>*)BNt!P^Rr#N!XMAc2xRt8WVMQs1sln$3t`8bvbYcp^2lm^!s6;5wGA>hwHg<> zh0akJ`oVu~zD)A4Ms!uLJi)skR_EEaUW7U!+2ruTdlX@X64iq@{}YX!iZ9RykCOIn zT+XnSeeSRqKVe0$Pvil$n*L8Vc}C-1w-0Kb?0s6)QI^ZgX-JkGf)I~qXJm4IgzTNl zqZN>k4v#Er5wub@b$VDchVbJ#PZg};}|I%m_~=R^wMQ~E?AIm3QFhvM20OlCcE zV(Krl?N;4?@DY1FxCCPxUTSCNNf?3Q4PPIXl4JW`WVR32_`cz`h%Y>*21(2{YB-MK zf@`>pAF_8?*TPya*jg6k|VOlyE7t_ z>ZIuZxu?0(QHzXEGJ0))BL=6)Dnso`Itab0HR^UhI#8kZL~4R-`Lq%7;PTa9ILk?~ zsWqKP^Xw>!5W+s~x??||H^LRJa073?GG({DX7<66dek%9;<43u+?9$Q!rf%QlY=vk z_?736LZ_;GeWkwLRpyGUv}3H8P4PfB9yJdi{zTOI!zEb?}P^ z7t37ChjUSDp;xOwI;`%RJNwA8*gKnlnz8D;DYR!?b2Fl`%c6am@-;=E${|iRWd7<3 zU`Mw1IU?6WJStE6KzPicvVN`gd`bp{ps8WAY8zk?^+oRb{igN!b+lV%i^65Py-d?~~LrC6X_#pT0=DM-p;RQkeS=+2tNvU~tTl?{WWOEys25>9$=f=zolIEdMgDlQo@~aS zG@J1EZu8n&YdNY+u?CCvZO@mVAJ(~>HnO4;?MYt8PlgZ-&4C ze~^i|iy?bbb@>zVPQL3De`4Gyk@2+mA?ZNgNpj`wnNxNl{(5CN9BvQT&O6itAv&2`EVcjxi;eF$IRv^i0Y;eaZM!9d|!zw~R zlt&C=5u1$!5Bf&3pMaFh+z9SISBKqyGThVQ8$2vmhUB5hRqpmC0%K2_xl zAit$JvW<6*4$4B-8XI;Xk84~HXm&N$kLRwCPpAx{J?#7DV7+cI0}9E>`^Lr*o-F4| z-pCY>857L)s>i+%j(Fx7{(X8A%Nw*lsrWOmM{{o>rZhgx|JJ@UgqivC(9U9g+bgYy zZ*X=0ax3_1n1-%FPOVha=r9ED7@)o#??V=FH>8RoXV`+!Z* zqW^nwrr2HfB7N-qK@dXwD9LoMuZAiv+ZE%I9W(M|5AZEOR8E2;KeKIN;3+Am>Pa|81AL6}?Sc zsmNf3SRNNi@Dbsl26EY(wjnDZ<7>4u7=qfO)~G~nhSa5b4T-Fsr-+{3`y4L&V=jP8~+XdpcHqM+9h-X^htc>3|Wid7eqzm2= zgx4O(vq7Bg3O>zq6+5Wim&T`zbgN^gFm<%uDbLWqfWEZ=1*V44M>otRcsA4RSL7 z?l(R4KJKTeQrpN#t+^I3A%gpT_FbksQlfSYS%mdbXfLX(My{k=1rn6p+LhDju9(1P zrxTr^o9iKuM^zWxTeGWDkKpj)GSw{CV=q%xdu6mcEdKNGA=Mg@A=h^%QQ;!{ka5j! zLV9Il zqQ*uM4g}d+I}leg!ptXP{%v%6D9R?X+WdD$*yIF|Ki_ABf-zc>u6duJzb+RcXVxlQCJDhjuu5)7kn6?*U&Ay%cV6pd!$^^4}Nd;;Q1(g<`Y#o z$b|pR;w8HgjVOw?9>+kNC=2;8c_OmAgdpJR>U@zOpD;;0W<)0b5|TZRkx#r_$i54G z)CQ&B2=Y;oY>(;=(;?xv_vsM8Uj&b=#d2_--quc>*4}3=dD$p@@hA`Zq&K^XG)VIe zQjZ}`X!M7g*T$keBLpkw3YhUltT+WULprtgf;!hje{UC6CRjJ(r)m!-C}O*$h*)JR8Z*0(&$LijWUi zawF0ittGESYbW?2gItQ=K}*1pQSX@r;(9q~`;>UoAGg+j%HY5HJ=;5Gz!^bo z(ZfBJHylTQMb}XEJ4cf_ ztx5R0=Fi!z%;2X|_PQ5OnDlW3nU0GbDXJIwImb^z#d6n8RYJrSEHHNu_up}mPOXq3 zMU*Osz9YL!C8y{$4cq0HMVT)$DeZibltg(m3jt}n=A+_z--mF6T@pAX?!?3i^Kod@ zxJsiaXu;-v=zs1~X@P^xk4BC-9*6sM54cQj5ki&5HA_SL)kY$oC znakRBCylevCNKRbnXz9TgiC~_BS7J?=GzSKAT^X@j^o6Vi0kj6i17@&G*IN z8vKyFHxIeYplX<;kJ$=F5lOuslTGAB$i~0Vz{}>LkZns{LwpiXY992Nas9T!8*jF+O%B3QwC<0s_@PLp}Bl;(- zK`y`M&Z5N9gTPCqF1Uo6hi%~|5Yj*H5mGd6=o;OoY3>mc+phcSW~sd+T8@m?MHW2b zKZLxNJJ##eSG}#V$={i{xTx4JwkMCI@~B#0w!381pvpz4272Fz7;IN-Q1jR`T(i}K zhSuzhI)#+S5fXW$ zwPK0QFyKE=yiYM<9XNz%`nA?=r+BDPj2?J;d4}Pn#qU&;vB75q>(^r-etIiEE{I3Zr=(M_+r@3X(ISx?7# za|00sa?D|yPSN!3HMgJ7I!&Uf-bfq18d1^GGj$tDzUsFjapx%Bt8A^I4X0-?JFPX` z_;+;j@q*aCv(0zC&ve-oC<_!)-lqU3=)1o?ky?%a!paaC`KD9mxD9SMIdcn*i=0VI-+kUnT4PGQ77DbHw+L{-j&Gq+GBI=pqatmg z5D0*;vvO5(0{zTFMf@UUfxM^lL#fa08{{z-7NmYuU%Rr6c0(duw|K%VNUqsgy+#<} zJ<*>-W_FRihgrJFIoxh*jqBMv1{h3QKkYcqUnFz-Uj#iPYpnzk+1eJ7$%|uCsO)u7 z#$vt=@};!*?u&!1Ifwybr{I2Sx15B$-U!5!t~XNTs{tvWXOMpuQcWo6L{oJBkgH)K z_u{dyWo^_Gk~W)s&vUi5O=C-o z%w$FC8OVj*!f%pCrmE8uO-b{*A>~DRq9=3iT}ZY+sk>&a!_)R#SuO%b>TXZ^9YkR5 z_JONgz2?s4DYaAK;f+wg>Gz-b+7;;uOHRex6G&U$1M0$NARZdU(_YkTJj-@BL#RRH zR-6X;+|6_XlHP09Iu)_;6*9h~7a2n-&kJHG^Wu~lLR+hzx``h`m|u6M`uN$n@0v}L zNb9l;zDNmLbfnizWS9I}1<#L^oTtYgr>gdA=H-i=xX(ql5p8J??Fl)Cb;QBn)^Ic5 zl)yzHi_IO%0)~2!vWahGXy%K~`sD#BB#cGNM8&iPbjfF^THASeSObrrh0ka+7UbYqBij${U-RLSJdSDnEOyQQ`vRlLGNc|1jH&-1XwAnr2%PVe$3!qNHh z{LCqlU2LVt{fXE{vb^hfJZ%UCINd%l{|q6=N=VM-lQJ_TGqP>V$3y`Mj4thb51D?J zCa{MKR_j|}M|+WkqTw$wu^W^@8l_u)wgwa8OXOs-5%uc#7;LBhG3cV%(W(=(L% z8L~gV_7IBb%~!L=-tB%q2ct)U3-{+gpj}6b63-C|bN|j>^Xd1@H2dw)7Hb|HieyXu zAhkw4*yg9VwxXbXL8NErHi^48acP~R0SoLuK}obu5s?+5Ks*wyJuWvxUX*Ugjn zWQwkDk+^E%?vwQ&2;mAtmP&}$De<-R3d9WJnL0j66e%oX(srzr`N3nW5ydF?q90Np zggj2hcDNPfElRllUu1@4FQRPKOH|fx{a{R5T1rA?AfBmCGj)8A8n^Tzv4g94XvXga z$Ite5dBl@QMzHOyFi6ZduzwK+5h1C%ii`II#BIg7bw7vrB zdY(Y=h(3)(GM8S$tsFC+jBI}fiHks{2lg=nqP665GkZ9n@Y$hn?j?9si-SzA6wQ$( zLb{1kjHg!&IGTUR<4FfaUc-$Hr^HL7Nf#mHIKBwip)3StY(p>(g;Wo;k_xiIKU$`f zKtkB`L$Zw5r`is!x8uvm3K#C~4PMm>;6w{S{MuxkH@#B6~xUr+z{7W37#*?gP5N;|q#g)m^EB0E9vBr^QsRf5#S4jQPUE**GgxV)V)^8q+@_cAD zn`lqT-5eJ3KP5PUkaYYaB}jMnoqT>Mr%MPzoZGt7VPiY%ec(2*V(ONZq>L1!-6#)# zyJDgHm~?UPBleI3$vy@xl3Whr)QCN=_zJMEdAa>QXrpgrG4jOQ6k>RHOI*r`y8>h>t`)c##N#e%kT&!s08x|_(s=LnDl>LV7=@giBa-Y4t>(noFFGTr0kmH!*I|0@$0j z@l<^uDBnM%J300@oC!7tqtw_#C>e4QmJnc^xM4kU2`6MA)G55kkB|W|)eouVo@FJT z=}!1eDVefo7Dcp1n)r~lC1l?low_naok3i}juR_(%T%2(y{9N8Lyf`dNJ(ps8K1J< z+8~C?zxR2ZI;_L{5xstrOX2WU^*e-~?U|!&D`a$kpNh7j5R{({**BGVraJ|FN1D0^ z;(~Y`h3G($Urul|3W|m#& zqM}ItnjdOW%sEBnS9ft$bO7|VxoX*XWbYcpr~1K%O~tmcRpJUtvJhqrT1IM2iEzmRj2um-nspu6!|46I>pwCrRAS zc|<-9sgb2K=ZCI*8^L|dKpvNT+Z&(u#5ui$74koo!hlpK<=a+Ci}y*(W<1j%awE3& zXBPgLNmM6f;y4NZK=DAWQ90;Bbwl1fGA))rvwpTEh|^s@Rf)h8W#NyMVS3_y_##e( zNu8LG@)3eQoM}R+V{&9fe#jud_C<1(n~)tgZI8$IBWsXqB3q4EFD0@~)<+h%CK0F1 zBVOaurrfiIkgf6}C}3$s5gv0X-5Pfcbg5jpk|c2Tjf5nZI&LfG4$Mg|&^$%3$K?Hp zVb3&UHTK7{j^L6PEyg7E$|Y`BP-#$W>_VvvUuPh_eWcu%$iB$lbGq`qv9J6?oU&sb zPq~n6G5({xvNR9co-2+_N%G+b%jQE_wWfH6n&4^3RB84`;7T^+?e(4z=8eEHu;O<< z6n~47HH`se7uGi@jRS$P=0zqE4vB3Eh{l?DwI-3r+7-_!ZX zF~%H)yCoS?TmL;{F)*}^;snGfd3~KYwp)$9HM4s+vNsBi+oAI?Wbe1pgJKzYeEAkL zp6kCM`*dzvKgcXtYo#j|IT;yUTA)zWkvYZ>sm(^Thin(ybz9a0q~_vxiWSJ8?5Zb_Wck-82Qg*{DLal#McCQ= z`(yK9&z(3m)37{;dOoSvLV~3IMYb&_+ht#9Eu&*2Q$?B+KH_H22Sv8Ic#g4}xhk5m!s>Vkud6Q%#4m>4!Z23<|)3SnUG;gNv2jaBk2m^e0x=*h zPA1BUk|6|pW87>H0a0l--^ZetSe7-`X?AgM5&+{qcQ5RQOi6~YyBIA7xeOyt^ae-f zi@-IhUU$S+42e>|ea?;Kzf<#y5gpu64GG%dd5#GCrnSy^pXEex8;LxJZHgr;3#&-Q zwm_V|1|)g_so7&+VNdw5l~F_SXF^D09?i!2L3-TH`ux1likXOT*s$Me>DM>z(e|eM z{t_OUbAdCYMs7GoS-!G}YO8M}e_O*lA!M0+^+x*ZH6%UCkdfkd_C@dcAdyqQsAF*? z{8f05Ei#0jYUDXk$+_(Npld7dK1p}WksQ8gO4ICpI!o{(dlqGHEviUP#HQQ#>19Pk zpgm@7z*i=Z%)Trb!5Gq@>85%+2n> z8$qq|BDnhN2Z_wZL$~jU%EmV`)~B_gEL@!JzVq#qDYDO+Q@6lwRH6qIVB3g}DbkQ* z-eiB%H6ovHjN<3QsgSw|){i48#WQ#}x+5*d4X4oK$c)xt!?9jZG!TSvLH!`VNHP31 zj;Lmcl35cMI%ALnncYu7!rh8UKf4P)tICWlH?(4WrzkTr$spnTA6sW)bvtgu;Fh#6 z6{Lpr-$?Z7f;Rl;ojIAz44!@EO`=E;@R@JR4B;TP`+b8urK)^(i-2~81)rMu@b`^= znHa$|3-7a%klYjEk^_y^Rji2u+1JiSuaJJ{8W9>&;@vrO$@V*%P+=2NjJtB?(5|Mr zgH#i=$89ZmHRVbr7?Rh+4(uim+3-N_am*RN#Yjk>=xLwz+@9R!4#(N{{6vE#zTk4U zD8=K^rA~ki$EJ8ZT6^3blGGLrvP;(;t@RVB)-W0dS#M38q_R4LHZw@ED=l@zTSY5p zHRQY_x})_hICedx{bJOZ5PR&udax>&Nmt&T#EfIMadpv(-D2?;Bw~D*^RP_{FRbmE zR_hPfqqv<3OHQy&uIrZ}kpJ9{*;1{`SPCsNMuSw8d6i@CNm%qqa zwv*n2fyIm=DHziz54oXAkNVGMGMOJlu^5OqlrKVzK!!`q-pZ)%!P)C}M~cK}2_TCb zs*pd9vu&F#>lL}sGo9#Nya-*9DG)b=xN?&k0gV7|+=7*ckkb7rz)6o|>hOEbbh!hu z&Qz>$Bul%IoU-~H0<$fSIXhCfK!KnrWLhkSvY~4H8&$FHImp#wojcE9I5LC(R?zlw zbCj(1=Z#958wIgeYXl^$9jVVd3Uk*onp6^VARkL4+z|Cl*T>wOLRXA>Q8_oHjbMPU z?!xS|uIFA8-&huuf|Q;Qt!OstGT&pI+gf27K3B>{%a9a3P^i#aJ`u6LcydI(ZZd_E zi;$e$Wa{!@1DQT*B>v+VcI6K;a48I?-^X5@6mP6^=e%wlcHSNB7Y;H$=3FUw(PfYA zwj59#b+2K2+1U;hGsTyOGO&RVZ~ctL>r4Z`us~eYg?U5k90?{j8|z78)Ei-4uO?C0 zOo4nVDRg|s2&(5T*+goUsmYmsloN%1v_O`L zlA~9q=YznD*YqG6i1C@>l^N24tNUXu8B!&?&6HssVwIg)4VEa*j)t5IjS&oEVnTqJ z(N}P;#w{t%clIFL4SX=3eeS{j7=vIwqfyiEV`r;RpAqyuH^sO;5P|qpKs{Q#b*Lh4 zBv@jPzON#`n~5aVG4}PewBNxBO7{&TT$hoU;zp1>aBJWOnN#}`wf-N9muvf9s?`QRVXjp8`93cLi-=o!kZ)g zdEdxVn~}x|jN)D+v|yYC0*Ck=Y7Z|$btiM)~J-FECmS@z<1oj{uy=U7lhJ${3 zjP0xgZaq8C{IUaCZsCBKjYiP3M*%k%ruTpXhjEMWr;KCHV{ltsOwxojUp1kDD2l!& z!S*{h3n^qKH|DKRe&@_IZuM1>po$T!^|9EP>PO$muG)NkJsje9w#($4PhCIh;wgB z@cr3-8*;)NJ-NY@{URvV>M2Z$G=@NK6_S%P{qQ_2fqVp;P||3DxFOzot0mQqUX)Af zqk0{k4Y&l`J-Ay>A@G@ACd|Hx$$n{1nxlQN9d}wn5!<==|w1?$JttSZtI#g-qF>32Sy`u zL^YH=?RSv#n1Kw7*3To6B_CcdF6DIFp!9qwVvDq=LN1u43 zNNK;r8>inPXJ-8P{EPsp8UG!&n(C7KZ_#mm0x9E@ zbEm~!*&Ts$?-d!6dW5{WU5b;BK_i&(HD3fxNp%Vm&AISu5=-YqG_$#y55kYX_uy=$ zOkA#9+tc6m3_O2alG4uzUUDJ_4 z&hR%jCRpp6qF$rxnHWYnLWjJNZaclgo=K4qd45x_7-uu12xX#xV%<^q{re#!83W>~ zmMT)6AC3&Aa!Cn7**5F*0{2Y&VD|f_LebYVUsFi25%hCMw3@9eLHHtgk5wV(`Pec2 z>|=kwLwll)x?dWHkX2mNA7+7m=Pqq8a&rqphMASqZzGoIzVr@5NKWc^S}_jBL1xUB z@HDI+kbe64lR!PXg3Wc*Wwrw zQ7^*Bp!;@q4)(?mOjPvlEM)Z!nO$oMR12FA&LkZg9qS6;8#1F$oG0a1iex|_*w7l% z>+?I^I)iAviQdagnsYmbp5vJ=?+CdHf${Dv=W(%2MUEKats5v%ebLyis?Ceg*Q~ok z9>QCT2dws7%ujE@mL-i>lrVkxrQWrP`#sYsqNjNV@UB1ob8X!9CnvN zyjv1qjr#n~*%p}I;2G+O>si}1{nCup8K$|-un?rQIwKcjcN8TI?dQ;S_g-HFx^+Fs zt9rasTZ}q)C;UnOWGOYci0fx@1S56 z$5b~LBF&xScg{ZAI+SY|rS5&*nmt;Vt|1-yZhdifJ(9A$uI$ASoJr!Cvr1XC-jO5t zA#`L}$Mr~25#Zjq5y0q@x`(Lb-|5CsHITD+u(Q!=p|PRz`04DH2@9+(lNv6pI$8ng z9bIrD=O_v8H#1%tH)kM}E85b93zrCtLvRe?-?yo!rh~<&!PhN4hn%(}8 z_Rlz`wT~&_7F&2<;T7-fra~=bc=sBLIWh0h_G`b>YLD-b z*a%cN5+o<2V@9!c8>7eP9UYVrUu2dNGdY}D8^N4X*hC}F%~jK7=n5Hbk9;ZAaklBz zF*dBv2)0|2-t$!%)VS6ADIQ+1Ekzs(Edap@jGW_wPR==2;@vC_B%)i3R%hcqllyQn0wD7 zw5lSyV~Wy{xUpxN$D@qErpev|#KTu0-EHO(Dc3HC0NZ==5uc9VnQoYyX^xArt&fE& zrHDX2=C|bRlv}@{gJnU_)x`RP#+h5(q75SCQ~yDPNRb_rl}omt_dlYVeNOBG@Tta3 zzK1cH=`)`(ADqW+874dvM~q-~?~!g{aK8B=&yO}3aR*_^03?4pt_l8& z5ZAw^i}=^mm2dUbrS55h>Ov6kevu_(QIP5NQ<60!&bG?O2s;0E?;yK;vf-++AncH; zcQvQ{+lhnVLSaa+5Avz$U9;ji8_&^@`OBPwz(al!+MRVhux7pTkV;%BO|veXKYo0F zkY#+nif!p#nLu2Tg_`28xBMUl-qefq_0vNEDSofd6Zx%XAZIbND_s~ND*z^gGn4ye2NU0918n_HJXC^S^t*hvk*;(SNHM4Y|`KLd)X>9w;w4hA;8vztKTN{Zm#_zrrQm^(q zx3Ka)2BFaULa|}}-GeiwX6H)bKJ|MFcqZCCVDCKiJ8OEkl zcnyux^l+1%ND5vLWp=^uC?x*_3_h?fZ46qCMT3bDU2gt`Q&|>ivzBNq!^fB;1SzAAQKQ z*zM#!DGgcKA97~bb4z9Jybuc9L)Yd#=lQkNgow4$F)@bum!Xsdy^QY2&RT7%EZ>dbj+$eOPQ zerw*#la}6{vws{uHb~Z0$fxu1>`0eZGlU|%FQ=h}_CqMx+u80a{6pF<-YP*~>VoX`W#E9b;OaMEE@ALN*kU#KIr#kfH2yKLm zvaNb~Rr9p|+}?8I)UO%y8}YQouv9ZO!_xg@J0m0$w98=`usDQ-a}8uxpGRl<*-GCw z(`7Ldt`Ax<7XM~v!+k1_na;B#L8F+0qJd>f_E|*Z9v~O99jRqMNOOceW+2Wj+ITh! zLE*(l-PM=#6B)4G6w)(w$CXZth+zkHo$b8H#)N@&t(b3-TC``1Reg@9P<#Y*>9lxq z+4$u9TCfQ@p@Kylx9e2z!SJ(Ds?v8#AY&2NSsAzXr=(t8fdPwX{Z9U{xv!4P(6>Nq zn?+A4-l`K2yLtyMetJhSZcZNajoAO3x1c!#d_1x8#Iwue(K0lTPVRw4gDn-k2eY~A zJ<^#~&u3CAbUyjYiW4Vah4aZv#37T;aN{`75jlqws&q#N5~7+D&va|iI@KV}{-`J$ zpPUL(j#=6I-q|lC%{v1%Q)7TLt!XCjzW%_I_+^6cx=<|}(XUvlkTb!4b~#h)=^*Rw zs!lnzSby?IJ*umBj5W)hKuGCU#Rua$A-)JXO|4mHKC&yt&Pdk-&)j!lDJQ+7CqD3; z-C52fztd6SbK||7wK~J}_5JCPO4W7Y$j%mStLeUVgaiyBFQu5`(%fDoj~vGox5b6+ z+qaIPi`cUs_-ZPCJLj`3&QO2-?alQ$5r4g{cyxUXKWlV%+^DmEv;#-1=r>Ze5tOq^ z1mccY@JEYwdgpEJRuP~qqP=Fri`p`CY{rE^=(A()x1nhLL?o6=!*Vo`?7NS0-W}ah z^b?HZjL^2CK`f%JuK?jzpZ1={Ahd4M30%S=e$MsUSBEb z7KmrR5^58A+GjInPn%3b+-s&6ubUx!BIKhqg#2}lW9syZ8YUDmg3??O89V71b*WzQ zL{u!0wB3AtrHK8p617VH`7}#pN|64y8s(4*AIKbDr;VB`i0|}B%GP*tXC8NhbNYkh znC5&6IxaQ8G24F3#x{&Ccf05N95~8aAVIj(s^E$jO=YozIyD1n4?w)uK(zgI()!177 zdZY_k&fDO%)LMdI!H11XPppt(J!&+pj>wZkfiQ&hL?G47k z0@SN=WVVxYi`q;@-yAcIyFnuRr3^YpzwdRUA25!gq5ehCOV{0DskgBaC27>FS)PKg zC$f1|kBoWMuK%)-Vwwr%21b#?&)Ho{kb=>Dqx<@uDe6+U{;ddXW5H9@P?j3Tn{i~2 zCe>Ghm9e|K&=gsP;L-CUw`)O2>+Z*LekLjp%qQmV0$*6q2kR(vL&^1dt6(vjQzc7? zuJk6X9^~3a=)R5RBPef8;+CMzmuHTpIPvN!n=cR7t@}2*&&qssD~@3je32%V5RmHr z&OD$Vqt4qLq8MC>-$~9_E+^uPx2n_9^df9Ab)~3|o6`}7hq`YpY~~P!sHDbrW_XJa zGCk5yoyqER1*M_*N^Yp|B5d^Z&9P~+V`y3pSP3nYEKmTHbFX(0=0u2Ln% z&h>I3%=hwE@P!OhhAY1ksE|+3L%NpaLYli|k;Cg68+0Z+1{ql0x5guRks-C&eB(k} zF;3Ju<0-Iyno}4qn7W>iVl_0z9KUmd3-<$qi#Y>H_61XAR3?iM&p4+kw`b-fU~{*$ z69mQxx~a(_O;lqJIbWZ7(jdGX-vUjd&j@HGdPi_RKy!>cdo%6y4wB#K_PnC!osY96 z)8ENwm(`RhIe)~Qs4_BfTI&m96ZSjj%ZfL#4AYs*cnB$DcD`BbHl|}Ja$J0w?~`Lc zn0p|_`kgc7X~n&m%f9cKuLOyvZb**ILdmXHMn-7$2WjqVc(x+S$dtRZ7?OhP z!I$~YNVgIivn7lwI|hRe-D|wt>~|)Fdm-$wUu39b2jAK~-EHu^FL5?a}MPo&t$uXBPr|oAdK+ta(G=LYnaIo=@@`f9|)%>LOP?<2@T5MGAXiA$-J!WT}k8Fg7QUOiCy?+h>`!@AN0e z`(LrfO^+Cx*N4|hPWHW?N)0Kx2dZ(hGG!6rSUr{7o54*E>Z0A?y34mUS&n|Ef)!R3h>xO3ne%F2{jxjSvb-S#)jw9tcTe2z-YyZ@j)jrjv%m3G#NFDHvdvB*u=wQH5fk#IZkpx2!B@5MI3Q{jp#cjPBxuzEYQ7(FU$RiCy&QcpHqfA!z zU!o7)7wbsgN0%nX%{=DE0e`s)hrK7X*pEHXfN4zwzqp4#%Qv$mB)#cj;U~Gvv(55%UooJ0f?a z&0Fvp!C4t1hTWzce!E!ovh&9?Y&NV31c^A4EDB<7x>w z5(-)qfeU{RX6*P87J2tS7tt!inEPi0C3JC+!ucW^HI&iqV>{ERGIM7}P4R(rf8TBr@A(~5S4lsyh99y#?~;Dv6G9Nm`yi1cUao_le&QfM z1RhIsn6aNVB?s&o-hnwYvI1x}s6awx-YQf5oYyw>(>q%|#Ruvk|VJD{V8hK)ieHA}v&Q z&N}%;)I|@SseI2CI$6X-pRrYS>n}*><%2|CY%I$k{1DB2?W{oUUr0SS!Db55dEw@8 zHsUt><7c$P7Xi_H`x-}h-WRe>?~`Mrr|34L&HWxjxm*eic{b~EnTXCrX7 zSC3C*d+Q}^w$tOVc6OUK0*u_khp?MA9n{wFk%szcabh2#j<+DPSpI-OD2~a_BSzJI zKQ430a^5uOrMPeBk=VQg!(Q(J8QE?-Fe*h`NH!0oMEjh^HkZ4gLz-w?XPXEcz6fb$ z9WzLby$CzZK$7tj({)$=`J2Iavr$9W*E#W!c#&$+bo&8)Zw)qe+>(GvGx7hTwcVOkd%t;CQ%0Z}Fg&g&c?PRdlIqkk)3vCu7nt&|C*-qt6q#(z%Ggm38$s_Q zt`sR9tE`TaF>Y^hHdM^*7?y604Nod#l3_5eD<$kRWUp|loG`|W14!%b4;l3I z8*7h@u@Jl^U;f+E;Q~^ue-5RHq_GXu*6epqZ2PN@A!a&^LyI$A*6)mu(%reCs&7-@ z9L^gYRT^t#`9C8VkE=VvD5mm;bfOrPx@nZDq|AP+yFhjj(}Sk2{4~ zJ7z?6nRXvjJBN?gG?UZ5?PG%}u4B$h^2XPA+YQBul(S8%vZi+g=Up*o_LaRCa(>ge zx)p~d{Z2vV=0$LE{@rVgQH&v3uM^=9xzepKf{ervQcP>F(6FhflfceLfb-_>cN#<` z8v!%y?>696PHXIR0*BunZL~5NYaBfw{Z6A% z_eJRG_}v34l+K*T6h|Y#P1%_9y-G8i5H?!}1-aX-5g?;szcb-O{}4PZ?RO}p|L)GU zR$xw6p_ITR~%VfKcQaMrqwaDa6a zb?oEyJDkw9pV0{wQk?xfZr1oB1-^-OxSUryS}<{iNzS0Oxn870 zr4^Db;OBmJ(FDfw_D#ZyG{U~XCD$+m`nAU05tzxxi4`6zkE^C_zjHZ7aStqAdXN!D zw%oUO!lm~<%1-BO*1SJSE2S`9SSI{1iJ4UJ7?)7@B6)-)IGoCE$I#}Y-&xz}R*;H& z*gl~0;m8QVbc7M?$=$9W-BA+v-H;JcI5nsg zLbJIeyM^t;c@6BD7V3H;qoGLW$Ya|zufETV!1xX1Oe)KeGewDvmQesQWCTSEiJF&Q zaaqzOju}Q@#sO#t?G912_iIFfw+Dd@Qm!Gh>iJ9>1KzXIVW_e#zxhsG6VEoiJ2)=B z3)QSNj_a7~H)zO1U_+J7zJ=?NrV$WF7;*-nvijM-7-0on#GuC_SiS9f25!Yaq(jd$ zWCbx3cR+iq&?S>q9@~^R*rgs8_60X>VF>GB*nhwwFl0UN0VIs~JwUdx1TnK@e?AaW z!~P=~wcvV*KE@!!wp}!Sv=Fqv#T`d_*glYba%6UyDX#0OV#wLw1qHR3K{GqJ5U_>^ zpX`|R+Kd$B4DG%>GX)Vsvttmu)9=s|S^a6}pw!kf{7O+di#DP2y(nt#b%e^4-3gAW2U$_YM2=5nd7lFv#17{nIKSiv*|gZ)Q**jFvQ-Fgm0L> z`r!fpMMMRI;MG3JjCrTG<#APCVTE%( zdI5*AZIP5oXS~H(kUE1}kW3=;AR{OYlPaHI=?Ie?M7QjlBez|H!jR1z1td%xaqjqx zdc=y3v3B!g=3{2X0_LM$L4sv7w94n1hRxMX9w$QFixCV8sD|YEBBRZG=TVgv{+d8C_agARn&xwNud9dNG~$3=>2d37bK%J}Z*M#@{BIzX~MHOLm)Z?a!DE`Gzz{CB1KM6h-}SQRUWkgp*3ixlKw zE>bA7FGG5bz2nuoH-y}skZGKXOwLUE^g`pfbz)r!4k4@f@6;A~Aq42{`COW255%?) zXfQ+-s#IboLuS#=x=;c2-`U~;k_Fjsh;bIsG-CgZW3D5!>1pG|X5EjylUMm6u%YdD zuJzqTP^YhUJ$?7cRope}MNs1UJ#E%Qs~cTJ`GB)(Srw{7{)-ID=+;TnNk=P_QxG9F zq{^@C3QvsUS1M=FGpV25h#k|iJlo)NkM_^|c0~{UDXgZa&=Bl*WQb1y(a0F;Jng6` zbxNZ7U++Ojw9MZ(6176Qf2aL=ul$hm?H0s>R7j=rWJroEdWY6-_nK0A8-ce`_Y4g5pIcT01ts)$~*NVoLVDd@RAA8W7Q-RjzifHEJ2#R12t2 z6;=E&Mr>Z~8c!V=J8MUBi#js0Z`KEO*6OGm)$U!rP|rtHU`F=ef!7-~;b}~3y0@mj zxp~E{4JqS6K2^_hBKGHZ_VT(O*+P`(WauX@fs7+^p&!?5= zO5cqvggQC!fF$po>iMZ3R?N^}xcJNf29pLfUl zq;qI#-uEq8&7KTtkLn$ZmLYG=z80Sk5EP8+YW0lxjaM~?;2ZI7U^30-HIQLW3wMfA zz51q@tb2=1x2^{QsBsWxZBcV9EGsX9XQ)P=QE^b1-obFo92Xm`#MIJhzS_8WKvZGN$@|SGTD2tYr!w>><^qjCY{*D^iVEzb(hD%@{8j z<;AI56Pk?05q03OmtXH2pRQ|8AavM7p4Qf_moi_jN?jJc=-;bF9V?7oT0*u*l)I zqi8<|gTUrq6f?CI%|vrH`?sQ}KvvnARP+G`az0?2<9A9WcCN{E7yq^8&40GVBpf1U zt$p9ZgKdjD4wdox4L2O?E9KZI-QxFnksQ{9lsYW{>#srNLAo_A&{h(QC~3@W$5bQ| zV#_h#SBW00ouBE!tbWk}`w2LMOcRVihIq$ELqND+WcQ_)nW^S2L3ZlJ+F0-!*a-H` z^#)?XAy_r$xI4I|8iKW2OoZo#Rzs$!($^$o`!T!6Gz3f1##X1Al1QssTRYwSk+!J2 zSp$DZ`KLS^vXN~BBihNX?EAa4baG4DnAdAPXUP1?$T97(^t}i>2$2<%H`^hTUNs4{ zFSfrKhE8)D+&@AOklz!*&l~O+Lte-;i;?t{58|)ECKF4Mva% z(p#q-h~fqLg3^v7TTQ;#wBUVv>28yl#WmJ=zY?nCLu8W1!?qFBGPJ=w5v@sMVALBF z=4(G)UZk}M0nZ(<=W~!*^fk7d$9WNQ10s1Kyk%oMD@%+zlahRqSye;54afdF>!Bkz zC>3@#T$S}ZrI~5&pm{@+CqhPc59rgMx(BqR7em&5M&L3rgXB+)8b8r#$W-+kQSkmQ zh$lV>Gj+^xEa^7j@W=IBhc83+PqY?fn0X7Iyqeb+LZNmK=uL^Y7k3dG^*|+0$oU~d zuwT}j*t*dV5yeY0kkR~l=GvkxHrPHkHdNQ*7(P`)XigS#K80oo+#v;r$*hM)rYpM! zvZnpcmNf@RvF6TEZhx7OYRSEW)EUo|l2$iFEkPi|ns^Y`OG5q=baPy2_k}Aa0{~bkI@#BCXbVcSvvBs85ik^`}9Wuq%aQp<|{S5T1=^ zF7XryE9@9(S`X>VNK$yaKQrHks`(;CjdLK=4A=+0jXh{*=i2O;9zp>mwoFVGwq2}Q zbiceQuYs?a-nXmD|305eqot5SJo+MQ)hFe}D)k~enr{!XEm?VmLLa(bGr1G0^i6k% zRPJMqN2J+1;9av6YGBI7;u^eg~xw-5s>*n88DPU?W`tFHpsvUDX ze}pV!P7L(EM6erlW%#{L@s197!S;Nxrj3NgF!9wvOGS=jw$FSW%}R|8iEq23S;nX7 z&cilW^&~M_5SQ^e2%H`v(-MN`9i0;RVNUJaz901SBSFI~XkSU{kqD|NdipmPtMi$I ztT}Da2dJ20APZp#I?WpO*#g>He`c4y0@)^~j{Exa6c8J-d55lC9Rt18uBSZYOrA(_ zWW)~aAV&Qru9H~Ly6Ryz0vPK-WuGScJfMtel{wRa+3CW;n~|PBL8HZQ4Oi13(<72( zb9}2Ry+Jr@zAli37c#8SN_963b39wE1e@bTF?gR63%gzTJedkY-|6khFS~X<#9|$Dq3&-}d%Y zAzh7Gk7lnOnvd*&VZJQn-Ie~$tIogg*>sseE~f-#FAaccS@CHam8mW+~>a6@gaFR0Z; z+T6Ls1M#pDW81^;0a62z0(UcYV&&N7%-qdLe6Zz-au79oLueWfB>8(l&bh=hoz|8+ zek4I5%_LG_ZnPNnS?7lBF_27(cN7M&B`WmH?WG*zL9FZ zeZiReC_PVf{E{f>2`_Ora@O`cg=DOdWOM|`?m41>>$LkK_^(7vaiUhwJ@sg0VovRz z7n3Q`jZm`Z6UmE3yhB9#B6H6tsySh}{myI>TV&QS>Bhd9-Xcay!^cKUt$UE6)V&D0 zQ4!fSi=N0Q&S;#YB5avCWbz{JUBfeTa8DvqJ)y4s4z>^x5m%G6;+^X8R$-QH$7Go> z-YDASTRMU%vCE+)!x5wlB90%;GqknGK5I`aU4xXTp>3Ez2}rE8C>?s<^2&(D?!zMd zzG=1BF}PRS^-w$0zU1bqA7ot$kEe89jG@kxd&ZVstQ7seXq!GUp3f9#TVK{w zE3ha4C9i0gt>~lL*!n1%EzcuJHdoC@npW1WhCF$fO6*|w4JH0SN?#vD{UXdD%c@Br zIhqt*4D%xKJM(+ru*Quh#rb)A$;3vrZ&)r3y$Xp6lhrd(hK>_!l3!41yCfNVyTWwQ2R?-U&I`2ulZyR#2SpqqbdmkVg=Aj zZu=L2jbD$EAzNB1KjNNw52MTY{LcRP2=AXWS-O#``K_Kk*GwxAQ=XzsnO~&4mvW8* z0f;S#D5)A-lW(a(J3vYjwY)sCIUZt!!&s!+%*VL1@Ss z{|lKtG4}>7*xirWbLw|+9Wc*koGFE{y4l#+nQH`{fZdR@_0yGh_oAR{=BM^{4T1dK z*<{4^w9mZp`JJv08q1pD{@Bdkx^H-6_z1>|H~un^4Ot5KsSGuD^`^wEQE%)SU*uXE zTm&DXW}|M@--Qe-L|o)INssIQVqp$-5eI=oONKeKOX8}+^c`HNa@5@elYt#c>M?=cby)|9& z2LBzxE}d;G<0R3}uQJ*|xWK*DdsE*tIh5w_b?-Tz56&*t5w$#y>o#Y1=Pcy$e#?B?HlfO}uDcL$rxE@Td$51^{*9?*g5XRBQ+290vbkrwaUU8U@q&L}@ZeJuV= z=a2@?`TuTVR;A>a5v{|sYpMdSNJSO+V6L^GczoI-ypK;nBvWL zAThRvW|EzahP~g@Zj?3ctzxh9dvdLLh|V?0<>er(x`yl)$MkC9X@#7m_qtufOGCQ2 z>(m#9uV&;1x{zui6TOT-e;$x-;SWWcKI52aNQr zu{t6K4OVaD0FP>Dk3lXu+ufOGozaz6SI%j-`Jx3f{mGHR)d*nm+1OxXY6J)tInsJO zh1SaFn674G5F?F3oBKI%f}97^4S``H1mWlxp+=!AJ+DY{WXFC1y;hqk;9fP2jUK%B zO0m$?nsuH=+ctt44g4H4?d_!_HSRSm7yBJ5)VgoInzvwsNFGlC`iuPz^q#oa(9WC}u3pw!k8eRFlQ7?~g0c*7PdMd8iF~2qQoIOiS zl4lIo9>qqD=Io61)G1nx5ML2~>&aZ?Tcg4kF-0{Gw#K82RTD$EcWmd+jnX6DG4)i` zJtS{Hz2177&X$xm#fZ3!`T@}{cA@pU1H8!UF^62yzxR3?mWGOZX=7i8KoVBexvYHB z?runt>g=|cY5h*+LW;*Ook=mG+igks#(qUB`uQe|9(#}_RYuCurb2yg4t!rB*qj@Z zcf_!~SAI;58MY2j;UT1=65qJmciWNm9OGJrjqsqx2;BP-p|ckIpW&SU8&CE)W}1u- zvaUZb%X<&3>HkD^bVJ4+HB#?E&@B@(to(SUuw0Zwsc2-`^-w$rWG^4VnXi?Rfr>Wm zzMYe6tw)8;?YcUydFZbP!sWs*|*s*W< zkyc`LE)hXCi+9djq3%?oCo2L|_UbF*gL9$pdoV78Ki2yEW>*>}VTvvJF5e6W-X%58 zMrXi#cP`&6R+(+u^9^~DSe?fw8l3D_H3C$d>}(YCg-qhI7dh*z-o$=W<%Vqaq>tN; zX2(Fm(FhQ1ScZ(4ujvo@AWI~FD$2IY0TD?IWZAkf&kLeCgi^81mXNwe{LVHi%S9C3 z4kY;V2hsdC_@7_Td8@h*{Cylz!^=TvO7~H(7#nHw=}3BxIfHJ7%-Bsql=G)>&0K|S zqgHdTC8|YhQL27-V7LqUtj@Eq$P!$dj@@g#(UOopK2JNTRCQLYY~?!ImR`jD2uX#{ zK&ai>2x^UJ1B%vLlp{V@5f~%C=}!SdgznDHMiswbiQ*7?Hk*ChBwl_apbye!So+x% zZJbZc3ZQ(!B3a_EXHEmxV@OW{R#)|zB1o>TGzJ+SnRb z{zJ~P>DNOhX#5bC89&?BPGa5_)y_s)d!To9*r++YdoBz@9=M&)D$r zw6XE%^gH-Eyzl5BIjynn;nDhe)FQB5%_jST2R_2T5!?yWuICKtS4Eg-3!AIYZ&l@c zQo`G#Cz=w65Vj_ch$wfE<$KL>74&}yk`Q(blYmA*v%6jC4m|^Q@t#j468h~v4&q9+ z><#4>Ja6BWt(w=hOo+ETl3i352OeYbD4?9pdlu5IPnwlUQ2=_P$=-wWC&_P9JJt}D@Cf>qnfM?2GfyA&k-X@k!0q}y!B`!)f9;5-zbD{-2?WAcFb9K z<9gU}+p0+qPK<3BH5Bs~?cPC_pA7>^-Gkd#V91hC=Uu05Y(ForKulc(dj=`lvpX>7 zkzXEFcV}j+csAVPYaCNN&xa;rT+B}=b< zqFrH;_huT>GsRoUe&>mvCgK}5$8Xf$^*h&p(vU43qJv;0{32&@-f^~WB;|wb6yFae zej9ZUy>0Xzv(1+03_E6|^G_DtBBT4u>O#UbC%oHj@{73Ob{8KtQWR52wc2hY#rvZk zB5z`@ovda1w`aPn@ArC$43!P%8!>m?ejT#ncaR2u5q#I>a2VtFg2 zkcsNTbYzP*bP%}5FLJLh5R0BLJ`gRE!jv@^p?Lpu=}TAd)rgEBdOjyo*l+d=q-f28 zPBg{``R(f`?6L3fXwNjMj-`JisHU|Kq7|LOaQPy?SnNdC&?Y{k)_Z_+_weg!qM%X) zG;Oxu-T6I*GilW?()In2&{@loxKbJvli!276}ylU!W+`l#Uz30Y3_Jcx2>2iZ z^mJa>h%K>gv{h!Gm_l&~eTR;m?f2I8pw(-?bKaO9NJ~DyUC#(1-74P)DI)A+KxOZB zyT;}{5YMt9EWYA*Tu!}RZ}Pa(<+)A@%9_cq)Tyr+b=0`h?YWwL_1S(1zPmO8YSkLs z!sf}=EPAMgeCo95msgE|)zy#{K7rJuhvm|6Ac!yP(|Xme6mg7gd-a7g44v(62Mwvx zB?B>`bsRt=@;;xUd0n?F#L@%t{537qaiwJMJ`svkbLedCehiyKUC(Y_ufO`odZw(o z9wQYAGR%HuUOnPU&!|a+eUP|22xQpr5E;eqY-7S;)S>+;TNlrzp)jmblQry)z`7Sw zOxfuotvrZF>*w;cI^PYpMfhY5;%u%U1$R>lMcBi6y>X&a^B_JpjH9&w8Kih@kHG@l zg`CLSGG=GXoMP0jv&Jr1vh9#~eRkoU)r!uxBstQa>p?`$bAvUa=(~5ZWA1w;q?kS` zURSZ2VT|rM;>!mT(mazjadAt5Y|mept?ECs&L?|ZM(hp`;(KSzrjnlA3I6*hpQyI} ziQI)}f+=3cG27G?uOm)8xq{{EgItMW-GlR8+Ro@^f`nAi7ol}tPoa8D(a4}_J!PY3 zPkY=>-XC%<+3vx(Ja8c+``A`!ol;=go~xN*VK8Y`AD%xLBkmit8XNU&vC5FI&YmSG zu?Ewa);+U;K$ei@wO#a_wbvZ@NZ6>kJsQD68qJVrhkX9QntsTXB<(S9|Eiutp#Wh( zp1+WFk$FvYU*v4jr;uFpCs$JEynaInUXSj<*fULGLX%qBgqN;AW$sr z?Y-*KKZJtTC*v#=g-K78*C?U)2^rICU>&>c-}6CN&0-3=vL0l4d_bv9amW>l^ARLT zEKQ6LZO7kHInzC0E%F@kj`$6sEw9F&?QV~=4HKIO>CZ7P5d*RnE7L3aC$~Oz-_F$Q zb+mCp5vmULnGKM$6k3D z%0*9{dOderLctIdMf1dhd6={_+C=!ChYhvJ$@}3Mz%vag9)<9^yvEYS;zgjm_s@I~ zs-fvB-uo<;duX9|uQMU-sm3RqgRNR|?q@Xg!8h;<6dk0}T&G*b5M*U^wpmOuleMJ8 z2ykPzHMpb}dV9CM)sQ+Q4T5Zu&C2K8(Y$gZK9k95@Jc0CboIgf7}k3s=PUCOgx~i? z{C5y+{aq=IJ`3(im? zeeMp^pyzH=h%ccT^r`Lgr3u-V54nCiYQ@A~$g8BI$xwv7t;wU1BMxW3%uf7McaC=Z z+@TE_vZK-aysdM7kdX8`kHZwgIa>%ExRJyqz$HL^shvwt2-qgBD5)oi{ja8+Dc1*d`NMg<=BovaUf(UoAVqxGL9ib={~g$C(CHxJVCTG zqR1<&@fIb2yB_wmuLz{QqexSQG$JL!505KkBrZpKI+FT>ctm_&>k;0Z1jWuIiyaGy zNh}?thX55>P-kluWX=Ma#Ya$-rvS;e2A?%Nw5ef```GCDiTl=u$4D3h#yvnF`9)IX z)~^_-^XwAFVnT+=3z3zb(WJSv*)KH`FChv`e#&@|^I7ZbbqjhClmPS|P}MU8pVw$d zE#|3>DwB3bce8GL+5@O_3&xW58MSu#PCumJc=;gpsu9FDSMA%bH{~THndloejT(}y z1m4apo$4V(0mX8+)HhbRju3#_+0I`QSHRKE=4&jY!VTnJks&=~F;JecY~E}4#YSS= z8$xnXEyf4&I|}7z$1JwYK<%s^Clb;1@b25Fp`>e0JRgZ=r7Cpp7(p_R891=fmRxX+ zx8J#vZbF)wEH%jXijfLDiFec|PF1D&wo*GQc@I_L@KH6PIFRsLJ&3ssTxE2LMbO)4 zdDt_3tJ{l;p6{7hSyKGYC+||OcHIwYp9)mD1`R^G3FG2Kt{U>sgLfEGSFC{T!+$Gd zJ*#Gg?q$g1Ia^R(Ha0h$GpUN#X5!85n!#!WwJvA0_a1UQSL?{`L2s%e{19WbBc}Es z&N(gcQR8f^l!oLP>uL2~(#vRnTzlLIjF0|tmTjL1zf|;&;*M4y0pwKO9SSx!g7P}p zHkb6eifi#E5-ix8c)bpU@W~p|!W+8W78gTO2;&xHa%^lc$#%S>&i%@$gwFUDiaj3$ zq$J?R4#hh{cG12bMzEQ#wW|oH`!>3XQqXk~t4z6iV}`U4<|sG%dXe*5#(OaPwf}x&Z)<+Pr^gj zuh=_-rn-qh%rN#Kk-NQTvssKz=7>6(%BS{*q(}CYH*xz2+KibT zzdt`)+0Sg*tG^*Uo|s{=2rwk&$IW1SF8b#sDTM62A^rL4&$MIl0kRNr-%jx7-I4^Z zI$Np5JNQt_iQPxb-pNTQqGx(DEQUZS)m|o>_!4nmxYON!A4eV!x{Q;(T`BKN?B|x( znIIpo*tMN+#(N0i+z83eTR#tbKfVpg_vjFd72V=t>iwF~?X?dcE?WXpbPo{9|BRrt zXYV|`8jGRUes|UO_MX`N*@>gZXwRpQ_)amFws|!5$Sad2=r&W({gWc+kcxfvyrP=l zU{=^#4~rw7!Wp*TYgV9esM!7mLzR-CW4>BCFLIWgaE{n}c&{&gLdsWR>1&;wCp^|( z^BNKRG3W9kkJOjS;ik+_Kk2OVgy$a z)sU?EDWt(ca1wr4~KV8 zmypd$JO)1|x5y_Z-@RWW<`x-EVthlUym`(dxs3?}B8%}!>iK(2p9zM@le(k9)1zn9y;49 z7Q2uGiTd246UTK*nq<)@tG3^3q^fkbGjX~uL5*H)>OUWq5ZuRgww8)(_8=CivsKh3 zUxZf0s6Zh{VhEw$56P@JFM?%_?hX&n&PGd@&X!zCz2eU$HUpFJw%^G)m}Iw@ukd%L=*9dvLEq0vddbA9OTt*RwPa3*=z&ZtfGAG*7; zzrAggsBbUteB>r-SAZnhSNUbvU_cBv1xJ)%=v$yk?K4{-8bnn zq<#nn7p@zTbFiC6nZ9nH+xu`0w>cl9Pa!c~*nuX$4zhz=)aOTugXZ}_$bon~8hn>d zZqcsi>`KHm@AOu=rbSlI9h{g?lr|&cB3AO;#?MUlhcMCjt{^>Z)ZIO+dtQIZR8N#e zJQllN0zc%cCHpQ%`fn)4ci%gwrasC^CMpoY7Js5iF)$h*PP(J+&)hhz*KB6;-Ot4)KTS|2gTmd_q$>}KnA$fn|H}u8S z*>JXepXg~Tb2<^3-F6HeNa_PyuD=6eTU>%kH=HTz5d~ru>!hz8jj4F6xeTM$zfob0}z z-@&N6N;49}wP^Gq#Ejk04zc8C$#y*}a3exB(tM%4Wm&HjXv*?wnK2^UWor^SvTQKx(G z=`FuA{*GgiX)q*=oaq0vJvzkj@%+x25|$xdqP-xqI}#dr_q%%65(H#KDJ)w`8Y50YMF`Upy_7*{sj&z6G+iP-Gt zm@Fj@3^mqg1UdNRm1j2%N%4+WauEkozw5E)O|jHEfqZ}IHkNupOLTX5Z3GqCet200>#y4 z$&Tvzpww;iDBeK9f~Qbrvsgj~Psq8ncWgbU9Bli3g7R(1lRVvbWcfD`?d#cSc@zLiRA-|~WveqSH_GBPXWLiU>5=CQTZM1nqeU%RzK$9t&?KP{^WM-8%aX?T8~M(&ITR58j_?Gd1dNt zztFMkR^}A-C_CG21N=^9Q7j&={QU=UO4+t0s<CE~kz;`%&LX5SBzGe;L;uwdnou@ITdxR^R>ww36&8 zl<4HV%=z@$83n^Q^GN*|S~Y&ia$6|s>pM6YND$feoS7n!?Mg%Nm>(YgbzCrHK3B?w zU{4{_I4ES9j#)+8SKQ-5qS8mun(FB1vs%@6dSwLfiOs9s(G%GPqmWXa-2?Wr;?7;dT)xXeO7MrA<;I>uMRhLtZ__KD722GT>8k3` z7;CWhh@$P7+khryxT6JWsTpjo?NJ&I6v4Bj##sz0D|l(Um}V)Y$YAIbx%mEc?D*=x z95Y0M<7w0V;J<@l-@|1y)8Kl&d4iXg-5-OEbTCWLHpHrmq~|qAP2}vF+7sT9xS$Z~O%aGQiBcwK;$;lqH;LsLhS%XMX4`ll9T-9G8 ze8w+wUW9mi5jT48D4$cj@hmLUkP^0Pd|B0xdH7Y7c5P*%FFL+PHtBXuPQful_Rpfu zLPk($LlOOb-$)1M_)fc7eI2Bz-UtWKIE^RR>Cb(mA^80rCfpS)MB%}ez#F|)fyZi;S*kFQ8NFKL)_O;R8)f+LZ}M!aDo zAor;5j%x-VNWoTTM#oMz6+W6mgOPh(Xwr+2m5pAJWqBkj3K8$%I#KLwCoD+ONmDI{ zU-k@5+3Usdescv?g7Wmfn3m2#+I)L?tncF>^&P=9-Uf_=Fe7crEz$}ql>6=2@q55Z zX^8($k|O^PvAcr|U-TwT%Waf>?L^{w$~*Icr005u^?}{?h5dB-n6fX#R`sJ_HeR+X z?M>>E*R^HYF=v|;@8~Y}?$8Vo@j<<+KQF>u{@BqOFW{_3n4jq#omP$I3ye|oUN0M4 zDkH_WFsy6#th+e{f*p$?v~B13=B#U$?wS64r`htbI8pD10lv(|>>3C?b4WKu{&N34 z812>iT(7rSe|l23-D`T8>(dD^-^waY{q^a(peXPnL@3e4*iEU6PS72{L&NnuYPzO^ zZJ+RRe-Rqn6!&5n_kKULhltTmde@#QYyjEo{h}X3sKqNHUe(W5a}6dllIDi+Jw|+8 zKG#!1`x(TT*@fCcMBcZt)sYrVW@yMCWCU9n*RA1*oWgigKD(S>jpvhbD)zHM2GCAo zTaR?P{ilIUGpVLGD$Kpl<1rTeL6A}tQo7#*;%MC+ydi9CR4&(%{H^_kd?*wWmEFwmsI?$?hm0 zo%Y+fx-a_{U=;n3b4UFPPN9Q-6)5cu!R%Qv(w*Mkv)!zacF5^-cP?Wr*tKVCWoPSY zMn(p{m?5M=gDK0(ZO9Haxs4UQjbJ{UxsC9c9kaqygCgMYSqwK}ovoDVbJYoZcj!ip z@|hDy=-uw@yWNBA9Gqa!nOL?9ySAdD4!8v2KqyW`#_ziu%Z%)gSJINYsdcQTvoBFRk?HuWm{YMtTHPU z`6mHsx8GTL1p7HdGqN|aKip%K|7@M3`#G}V-F$h4c7vvqEvZmjDmpV@L<-ot|oTbVXYZy{}c;)Cd2 zM!(8uiiy9s>REYPmaWVc&b3z!Yxu0ZmBm3p%Q}M&f*6PpDq0?G^r+8ebO3Dj$0AC| zc@aJF)+0X@i6auX;hsNQR zqPpyz(5P*y8xJMI`9UHdf_kC3C!&{;Tj&~Q>#aCQed_4AX1?D74a$#Bqj##qJ(1GT zL6%i;3#lW`F6XN>Hc%OlHW1o+K3CmZs5rsI`gRYb;&bpy0b;t6=jr11fQ)o+qcvZjKmRHl`9e(dZHAXQr_yhqUOU{<%F?rrsEwZB{xv z2KB$t>*Upbk>2ui%rMpjE#HqqE&8K&rDq=zTCdYpp?N3LgXCq;r|AWr&_sg;Km4o53oEV%UfcUjuP|sJ)CUYX8_7q9 z)}v0efP=SzAf)}yxlVC$m__aTxt1`Yt4l%2kh47vmELAqYVvfUgnPSCiX&4I3Mpn= znc5Xb{~=fS{DX*rch>3k=NR2*v0Ox@2MDZyzMM)O5x9?-X^-;$c?b8uCS+W85*W5MhY# zQm(lD=b6r6;8p9ZE(q`I+s6Ak`};P6X;Cl*v9rN)3uIWv8Ew`kRv7MR7Nuc`w?ot1 zS>q(Bn-~y<+@j3~#2&hyp`qm%?ulRKxAaH&&sq32ytckf{#sb&_C?WgY4HwV4F57+)d@hs1z<=X;TlS zZ=@Z3bNk$G-~``j&Q|A|N-$Va7_(#Q z7|-=2>n$%oC+Hu7YO+TguQS8+u1sQ=*k|1euC3@NK!~vsqu71JgeyEKoV|G-RSBkf zo~~eWdnHF4Q~XW5UUG2^{rZNC(B7mc-_}!<$8uZ`Q-dKxS$C4s#tkRx45i4-*3Nbk zsf98rP9UB~!sJiyD3&x9_nzw;aW-hp9$&|*N&anoGG_9T#`C1rPuB492pO(ZPbRB{ zp9F!2XD7o|3euF%d}mowrV;IgVHs!QlJH5n6iM5cjNmZQ#_!oLf+vl-H_u;BHmKnu zQ%$?6z%>frmrl0RkTb_W-Zvhp-tKTAZF^h=ku2USByw!WpZfDwAsXzv-CW`xAfMy8 z=pJ25uoG=<#MU6b;4_0*Rb=rt>vt+laYJ@+^D^S^mOr=#wL+@bSniskXG+_zj0I9oOcHL8`_n;Au2U)$W0MN=4DAUWbVu_tXF7x?#t3gbxcG*&aF9H6V6msY zpZzvs;LBT<1W03e=jLjyVrx_y~9{gbY(rMpd)Oqn8KYJwpq@ z_lHc^4=Hyd*YDsMS^Zw`@H@O^L_=CQ+n@Kd8y4S8Jpr=4v?W)9xJ zX&sTYta`7ZA#Ts9lEk~nHQuz}fhhNTriipxpRdnc_BBP<_v8h z8Xi2qgMxvac&`q%9fR46oL=#7^(txTsh;TXn`!LlTaedmcZNQB%Pd#jK|pLVQlquu zhrryjXoC#6#&*dshOF@9#1zykceSb$`h1k$&qTdZ`XK|yg#D>&iuXk4f%OBD5URhJ zZ=mC86`KiJO8&G7Wd%o;Tr?@&*v<%x9Jwpsyyd4JoWoasW5edrpjbx(!&6&JZhyL5 z-A{D=LFh6TlDk)zQE>@B??;HMnU)hct8eOlSU&1=dmm5rG1mv_=|wCar4%C{>54nJjhgo0 z9LVsx=80STgUsq|pc*SW#*|iL*|g_9F7?(e2{U5nI9vbRw_897WZ5&NKwWBF&+zqh z2Gasxd5>Yyd-`QP2+=+(?dtJ{uwAg-$EHXbj`P(V6A)UhiVy0XRzI!0u;F=6>&!3& z2NEIOd4uN&>70(+>S%1b(xk~eeyxEof<2(VEXr<%oUcY++Gi=V_pOrc)lAU}f}bxk zLxCHLwe11x`ADi9nF-^KuY7_HWt^dHSrlE-fzq3J(}c!Pyj?>=>T-r;3k3#hDwc<> zc+{tuL$>LMoJY0Q`!et#vz|yynAVILseJgBTh+_6m?dX`=PQ3BWO(;DDPF6*pKaQ#HigVsiWVeKz^V!+Z&(ZaK`_T*PB%1vZbv8b1 zi{2L+5}hA^lf=*LpFYgrqWZ%1U$ssEQh-`d&%0~P? z-5*FFj%;N#L8|pglRnGwJKa1rs4S^hk+D@{y%s1%y4UAZw%%BROGVx^C>t}o+`WMA z+cFd6T1;d6} z{Fv~QU>5aGr^=T)0;%33P@9y?c@vj2=g&~JKkY2L#`i}8Sie(7{~$ve`XJ8crV%V6 zq0;=Vs(mQ$&j!OBa+yF@e5VlloYkM6ucWv~BHSb~f1yA4dtFE9TaGIWZ`}R)KA$rU zTjy5AG(yiOH-AIw49)`EukP2gn2j~>?BS!{P5v^2vbpzxomh9qF~xPv+L;G6>PD^F z`>N*?SM85ZNxNM`LOz|G4Ta^HVTWtep%$PRmQ~w(%i;Uan3Y|%0kfqlWV-ux{TYf2 zna;zf7hB(4yIz$q0&P79VtFL^;4Q8c4xx1h-GEdGB7TO{66@S_pJFU9l%XYa>wT0B zmwJtDd~_!}xhNs$J@hV9cE-A+DBW3~E9|j2CRyAIQep)}qtLq;Q;t!ycmDJ@ipNEC zYhH}ECo)Drd#`s(wusVbg86h*)VPj;{ARnploO|i~JY~*9B^|_uCEcsKYk@G&AYFarBnI*0#b?@nd0IogL*>7~e9wbbDXQQ#mzO1vj zNl5@#o?-D@$FU#_smAEIGClgT3S>6_oh}s$o`J9EOgoB03g(Hfe?SW0halbO$P~|R z;5}f8F5G9 z;rlT(oc$1L)sBqk9^mS;9f?R4H}B-fpp_t``+ABn;g20S2!UPC0avIj1l^$*A)_&c zocYYYZ6qKxg6j`!NGZzZWpqI8zTsXVLwULI;#dJ}Q{_Sxm! zY0XHP`SGJMpuQ<8xy!rt&r+>@J)O3GJxE*WN-#gSa(5b}RA|LBA=@!0<_Q@I zmc8e3joTIfP=wdJgq;_5KEe-lPuCZrRW6Qc*5C_aa|9K+Ig#-UxrgmHu0e141S{MS z_WZd%z6k0(AvHX#(P3OhbsWPpeGz^t?wgl(#*HK5Y|8{d1~O4$stK*r?#`yEDG-~6 z;IV{cE=q`R0)Psmwvh;C^fzQ02x0CJzo5)-$P5a@VprU(kSlLJ~no0A&2edE$Y@Ga*NA$x3R4h z)b~v~J{xjwS4eeNlXX7x?+EhnhA#GAPeHmttqJs)O+(i6JEd78%2_p953z__v44>h zsfj$i>AviSwEQ$-R5K4?MJr);iF}le;EJ~jnPxBxBP2(I2(&vpW<;wj#ecJO!Hqs7 zqnH@7oegUR9Rs<|#x{_M5ON-oBO}Nf*Xkns@AMES*|`@#riIw?J{#$`Bu2!sLLP+{ zOCNQXCI7GjL+F{5T2R7>o4n8!kxqZ!o*B&$sn_qOVHbcOj7Tn>eG1 zsTfHNHmK6s2Wg-8AoZ|`ORzboe?Ox8-i8DJg7LGD5eze69Jd-W14%v~pl1_j>qb5q z_=LP;+kOoB^*CEgK8sOHh_h9ra1(Kap-TD)4?+2_k0C_zXPQZIh9SgSpPBEBsK-+< zvB`n!cUZ!*FSc1MqnaaRSRwD$3$O&C-WtyYMJBqA^uZ=lBrWnb}>VrYg^&s|M-~7V!Ue|Y%!0J5Wt*U1Yv@7j3 z8X?nFVRd9gquoJlj!%f@=xL)`YR9zlnC!BTv|}nf8zJ3b6%ltQTLSHDNUP-Sm|eObgXO`F8Ocb> zxK}?<4z|aOtl4E10b;@X+1B*;Y}3*(o%Z{<*M)HXMX)Oifums*;f{rG7@ZWjc_DM zBp|^*c(3%tI7vbdaK zSYBV1gBPLPt#}9GlieLC1YxyuB9RaBw27h@P#lS7sZGgaJ0b!BH@)=F2%dLK#UmC-A94C(0DeT?bXL*GN}B#Jf3 zASNpLH1Uo8v?RWlH+PCa#m*dtwO-~AOp!;R&uC|dzf&_*eu!jjU2{H?i ziJv}NBqc5Ft$qG7Qj@B}I{c)q3q?`nB7z_1-K=?@g<+tydC#g;s5?vg)@+BLX~wcl zt8WRB547ujT*<9N57UYVq0juaO!uFR5@(Yz5NVX86A@K7Z@ZZuXC?M`^=;TYguunR z5OT|AdAp>==~&2{#A80(~ArEd2`VkRAr7{S#JrKb-q(aX9!qr$~T+Ddl>QA(h8 zdP?ip5Ind!R(|Da>UxD5rNsv}@) zuy-T;+F9+@lnv<0eBm)jRy(OkeLU(xZkFi-o1BzDLSjmv?f9c=Y4&-IXeY-Oiv3yb z7mO%Z-wbI*<>Efczb3@YbAE`|FG=O0G!d@#5Kw*G`i5G^REZXhyz|!rF+-y&v{flA z!d6aw1Kn1eh~sv`bexPu*C`eyZRRa3$4+%ZkiPF1LRsYKn-3GImFEZSRBa(tlk&3c zUt0qQvi@!cFB}YF-WsU$q?SOIs>*xGBHKbOX=F)KAkxrc-kvQ7f(U*>qJ?$=A(9EO zFnV>-4_ld&ArtPD#bi+DfMjriRvD>#Kqa{m`w$H2XWaaczxCk5xU+E!Vr z%<@Xa0_@xN*Q2&!gkIJsyu^+>ysM;T*Lc+)$>F$IaW_B%|(eIY!jZZe-6B z&C)=!St&z{H!cm85a6x77NdYh2Tvlp-mtZKjrv(YZ5RbOX&EsJX<<_FOqc%$2bRn$r4OUX>@8wd@l2Y3mX?XU+d2_dMB&KMgi z`-b;KXCvBNCvyQrowIMScBvgysIy;d$8Ln8ur=Bnht;BF+4>&biU}FZFD-<~zu`8t zf@31XJ#fhaM}Y($9Xviv1h3xvsKocpUMC8*LC9ln6Y-S%l)#y!rVPf7M+fxUrmGM| zOJ^41#g~jrd{|d7HrT2f3y#_;J4|kBpJkz#3iiZb24iK zJa44Mf}{r9SrUn63C5vo_G>W|HtP{WY?>T-k<>Q{m$P#&5v3JOKr4cUA_FN9f>5G~ zL@MwAbK8iKgaDVX92tDFW(AxVi{ht_M|A_wmxO9}dsrx3{v2LOv-v70x%isWE&LFc z5Em^(o@ANUQ{-KbjDl%7Ad--F2{Qey2dGA~dk~T6XjTo1Q;|MEP=i@QC^E3e0P)Cn zIuC2G(yoUcG`|P04Wtv1TVn{064F(uZ&eL(h1L$rU25_mtXm4NPKZ@=(;_~uP^eg8 z8VUJik=hv+d7Jiiq!j3}_;3PEJ3h1ahf{7^>z-Z-Z&!oo^#Me0_VR{+vjjVet`EqG z3vR(=6d+$O+A@bjjxz#KA4;bS?_iu`fzc|4lp`+?Hk-*Hq>N<7)ubDeBC+fP=~E`9 z#H@1SdQ&84&Zh(uNik%MFlV5EaK!r7d|>v}(v5W%ac}j=3~|QWsc~-)q)p^tcCGV~ z8mu>!Ic!15;SEWFIrn4%8B#b~d)O~l&ofK0x$}E$!9yi-jm{xzR5Zf9cjHPT~ z%#N)@ID;u;5Dt4&56J1EEUAv~c7{}DPy!WevF|A;6itXTMjIfB_z92+YZuIj%ogTR ztQKYhq*E^8IO~cX{kWb|e)T}29inn^WnRb-=l8(jMERlcB2Oeo&f3pNwKrr8F3F^& zBEi!84; zM@U@bhEtDaf}b;o_)u!6%_v)>3RGrqz|lEZqVt^Rh~QTnov~3%J$}uuDM-TzM?2F6 z5m9K3dHQ^see;oX%qxi4ZG{M_4S(sp_#ya}b3IXP+L=Zwo;i78v3t{EmEzY?|Fzk{ z3kKX{bS5vCq_*$@vxJw;UmJE2^B_d4Sc&trGM8kV`R_m+^C0wOe$^bjKQ!O_@O~cf5s`?x zj!|~F!t|#D9;(y|^d_MI;PPGAMuv1wOp=fb`Eb-yrXs~Cp=l)bQURI^jDjqt8^T1D z$W$r%5wQ9)7eB={-F^*~;}|KH@Q@I2O5wOj94Xc}6d6m5*qaUt*HtR@gv1_wqmnE^ z3fM+MRJV2zm|F>{wb`$sh%XmR_0HS(;KhsDDalfAaKAmqx)e(vA4osSxS=+&^#C+x zG@@e@PZ?ZS>DO@2wsAu;E|q@6)2=Rt06IzTgD$)vQ^~N&42RF~mE8dEu!?vZUpom~IH5c#} z$BCCki0Tx<1<@af9?2-)%0cV$Yef;MA4y2D@*F8j>Lnp<&JW7jQVL^1bfop|xJ{tg zuF)pJd?bI3j)9If=-GG&$7BiYxF%Iz@p1JHHMs(hXic_yr5O2v*sWil8z|)hiROBH zg&Xr&*J-B6CES>K9gJ;bx@z1BDN+TqolR4u$fWyGQ|c8-aF`I1z3m^==%cbT1DTo+ z40VT0vI8+mP2a{nsJ5=hWvHA;(4%r7{#XZb7OYF)5RAMMyO}>ITFc3rCCns#6bp7d zI6}ymH{SfU$OU>40Hr=(Af1!Vz%f`1DM#VYlC1n)f(oHBEl9jk^EBZYIFd_{ldlNK zkT}7nS~`3}u^&z@N!}g}6BtT4sSPG>G9;a)qC;HmAWC;5QaZ+v%EgdV&wY+4QXh_z zd6xbviRB!uAs2=u@+C=Hs$P1qgFP3<8#~VS0xUi*@D^a|n+~aki>Uc-Q8ai+Oh>V{l4q|| z<&Oj2jW^jnIYunPWc(+5(Wh7mut3v6O8{f0megn<_HMuDr8QUI8T|Q@EQnir_5-G5kJQuqPE$i#I`d8 zw!=o+%(amhWjfJOzOER8lmbqJ4rTTl7i0c4Z^VHKB@wyw+gR1nPG_j6z(})QkGx(= zfMOXB1_*8^nmrnEeK-&!-@#*yJH9kn88b-Ib2$U4Mzi=4(&NaDRP7QF3}F@WwU44&;ZAI|`u)2$;ap_vt3ISp;BKcfVI z2l*(cJ&vP4nN0CqgfP4LE+Ka&KG8u!X}264!bh2L zE4_mo*paXrP`tp9z&82#wrRhi+V_!A9OgY3VL(U0VH6fMB&IVtxW##MZHd!gQz>&q z;SxF$aIGi;jN3|cno;!C5C?x1A*ixyNIjCokvC(vP%y)>z0>B&G;Z&KQ;iYWs=qdd z({my1){Ke~YoD!+i+jPF3*t}z{9pg$pZ~-E{$Ky&|Nh&*{rCU#KmTsrP5$D;Kh)p; zw4;~u7!3*EMfJ_GD%}~qF)gn-PEZlxZ)y1rf!q*`=A;9%b~>4ho6kPHvdLMmb@^w)6eZ2jO-9JBrk zK@Gia<#-9k&Wcpdfbw|skdq3e2;(#<8!32Z5~L3+l$?EgL{T>_63ws6Wsbp9rg?R> zJ=>ADJY5Iq8)dl$WQFE#-*Zs0MG3UJA&4^dvz_h^DtABI={R7C_8W9o)=p22ow45H zrEw|2i{e5M7?Q6tdnG>F0RxLVBBgH>t$+fMdQv^VM`^C?dr$+ND4xKl)vt&TYA?uG zQ?7A@`fD}@DBMBiFzE=GsbK;!eh1TM2)#bIr0R0uN` zQc#9S*ISd+qKym%UbNS>B+tGZL~!fqfPa_HJ-pFbJ4nGKTc%>*Of7+uHL;8m_#iX5 zvz7+AxAjdf8SG#5YUOymg-7-xVj1Y1f{Kc7rFh&_8F2YBt&JogDKak8sn|U^KB)9 zU#a|&HTu1-9SS^Y4^S_NiIYPPwj1Yx@|KB+f+5Pcy|X}P*ICAE)H=S@rFc9(&mAm9 zvbmhs+Vv&!&$m?C0ed7XLr1{S82~ssRT*e?EX6ZU^Eb|!a zZw>pcl?gddrhuULc004cR;bY==yjybK0FCc^C6CZh)5kJnZ1o@k92=vnQ+9Wj6H+e zeRKa%tY^StHf?$C5~Q>HbVU$-H1{dk*Y+7qXFWo7_EX#s_QAJJLC83CiGGS~mj^=D z(+gP^*AuyzYX14yBsV|Pj$j?m=VMbs47_F9c6S>+IGWf|!0?!ViQN-4odh^#>$YR`kAtqpMw! zWNgrK{q&8HVpRe#Tp)d4NCV%G{#q(*rg#)<)FVJL!S-bz6;{G*2Zgq`VUE{VZ!);c zky(uFe`mdJ6b{P>>d8?&74m3vz9Knl^>dHP9`tMovsfz=>a~tkGq!Jc);G@i!K-tw zHU^19PQk08snr-A=P15~W_#Fav}?dbR%4vwMl=usT@5x1c#b!D^gM3PlXkRa{DmUH~qD7=p4p;z@s1#MB1}4k4up_q&&!M*q9$iUDK-@2Az%h zVPD#TFPS_CN2Mh#dBo!$oSP_mQ531CF+aveX926=Fy=$4)`MCOV;=V=brm2sfQ@+x z)5(go!jQPD1ks|EMA6TQ`>l)FT!PZ+0?onIRPWW}$y=2hobZ}VDorNeV zHhwYn$;Pc6#{AyFs11G?^J~|mGAAdCF{!^lS&OcHYgt9mf39e9!Me zR-|*cF+kklecZOpbF9BL?6+2iFMa-6LO|_CrUO*sq_}=SkVqv(5R6AMc%)LqV}3~{ zC5^!KQvIB&E1)E*$v7#iOuYtcjUwRFa@=bT=7yf=TwMX)d}nhi)O^%y+%9ZyJFXB0 z$9%NCfI_2N#)Azr+1_T3afw3ne(vqgCpz*m<__k)jWOxric|!v&%N!5VHKAX(|ph_ zR5wd1deynN3lS=i#U*5FbZz;z#lG#gjZ`GdUoR&Qge=t;vaH)Daxsfvmy@AU&D~Ss zCwW~?5Zr2TJ1!>)wO#Z$!aE|rXSd+u+-S$;1j!j@P{M6?ycw5i{$Q8TegBd7GXmp_rmN024`Lf&2XKi|F5dH2dn$R5B?eYty?osRg;MwB#3ye;{HAYxwW zf1Zd?uip`rl9OBK6Tu^tyY|4N`mj93|9}Xkaw9jze0X>vRTAa|L5agePZEfnii!-q zPx~EpkLB4G?H8)~;M+{3#eF7FaF9@1c>T3;zLBfOFxyuucPCHA?h}6 zWBC)|VgQ$@=wrx0v1|ltvs*iuUpkYrG7tyQPbR8HB;{0sA}F3nG6>eTUxNc;BM4M* z;f`cI;baUP)iOZ>^8B@W+(e@l&L$1<|fJYsjDuF25c7&VF z*4wZP=)^`PYnxLP>7rjl3RtsVSH9~9cr5xF(MC1{?bFAIg0-(*M-&y>!)-)y_3HID zq63M^-A438#(kRX^g8VMJ2EWZxkgc^o9+<4gxQ16-jj&@{a`Y9nxbQ4X|X3FOa*oR z+VNOXgXR{X2k~Hgjuw`J6+gN|H!R^x)0rCLDs-s_PO|wsekmGakBb1;18p2sAD5QYEQTrxT%N*3(qwNv|Z?V@?;wTgW_b7)W zbiGd_fv(Xm5x#ZPqcL#ZOpJB5{S(0;KzGUHvdsc&?b50OeWUdtj;-8y9po6CA-Dk2 z+HubGPQ;rAtzHm2m`sM3@3s;VC*=On8vS0^8;Ph0$km8ynO_P9G4{A*tpS7Fihi85 z2-wea+#KgCB1vqHLF9}T1Qq5vqMe8x!5X4DCg{OYCmaD5W%fEzI5s2Iof#|6syqf1 z%4=MUt{T@(K#q~>ai(}&yWG_`X1}`u!?pZg*cAAFz&hY{6P_zjZ7a2ik~DRog6*z)9yv( z4caAM>YxdN8grg*ei=SgMG)0O?;-FCI+H>14I1m9LGf6vC=o(4=i5AGB(|Dv62;p} zj2+zObo=Pa>4thMW0UN4fLfA$&S@qxyRnXnTY40A)EDx7Gy1+w5dy`t(~juY_CV;~ z_(IlQ^NCz8ss8m$>#Rx`)>o8_!ow3%axuxgXz zrW%xPB1j_US^-EN!{i)@G|4h}&$-JiWHtG>B2DVBqF>`oOnY1z=ppT4#l{IwAUpts zaX%MnvjtP(ib?n*kOg*HvNx{_3*(`!sgVa@mP)Op>dQSi|w5 z%x;1ZRfP2vMh&P!H}nKRqY;xNoW1}_JdSUmjWr-->MsCvM0lWh1E_#|X&gjH;x~f#A2LE4yTSdFif1ClL_6cXv}(-UjgjAU(Ee4tu)1zkC2=MzmMC@8T=~qSSGIeh1vQ>GtI?%6%;F4@5=iXcKjQ;Mg3JwEFsv zf@hMf66CoW0LUJt4LoXxy=zNJ!U*}CK@@`)&nCKCLP!_Dp&G*eDR6?9cp0m$GR~FQ z(XXiA;kL68niUy2Hdez}W&nRfUSL3!MpnIo>I~YU;cS z-N*-eRb;WFT4NvpWj_Fbl`9|#t6?QTJk^qxV)1&Q888;e>KfJ6C30c7Rx zC5j3%LB9h`AR9aj|mnYLBoA=-7@RGmtMIy3td2m54w91PZ(NHW(Lyaqd!g zQTf^=$|{opVCcK5N9urR8>I1BK~HCdo$g+NTRH0t3LW?jsM}vFz-U|nJZ#f^L?!m|D~z(8Vy8B5mg}JwDn`RUh_N8>*=5+LeNGj)0ogn1H*!2Jczy)EU#r{ak!;kNf>e#ZQd|5#bJR}LFd}5^qmx?Mf z2jW6niBM%hw=-^-v>2LHv4v(BO2*NG8#^qjS}M)Q_kw$>*H4A);AB?q)2VPNR{l5# z)xJWWd)ol~L83*$sk4nDm@-ln8DE}vfih4g6`>}EaYv3n5c;HI0BE20iGw7*t~1zi zE2lFm=W25vchNm~WRtw-iaWJu{=jjw(seb=Khjt0@In&J&ix#LRIrV=s_)VH4VlG zmd$epz)PLs(W_E-ZYk`Ntab_5NOtTUEEy1lsuqP?YXc~Wu39wW8G@{(YBPw?xJsrP z3bLz&@LatOuPC&hf50O9w&AupC^eeMfYd~P**KfHP)yElM=I#u_K1x;@m)Kmt#@ zo*(3FB#9`N$)$~mmtz7@wm#}vD+FEi9Z^W!p!(7#gQ72orrZ^WFjgs<-OIKRGqK7ap;K-m+BH!i=s?++Kr>?rOpR9ygILv7MID{9Su8DJGBkG zg3t`+X~Ur!BHhpNbkVxnyJeSMhi4*7HO|+NMDcQ{wN!IIydN&cr|qNul4N!}?4bQq zUmlhpd@|F$1HP9|L$ZI00lr5)f#u!$1lWbd&g-a-WXys&qVc)g#g_}}o~t8zA<|El z0kOwjW+8q%Th-f&!a~yzDZygXamqkWAPI9^tA8H$*7Q|+cF!}=**o9C z81X9!e@Mg)#6u$XUrY2;;{8*TV7tB1sHqs5Ol`eUr}R~!99BL2Ep zpAy_FoBklv4^AiZ#-k2BNrOY>g-C-S+`P+#8rp}<4SC7*(n$tAA!&!=> z3;=I&Wdp;!Y_c#xIkuvtXb=;~k5&lgu<3)E1(4`FhFU0f#a}<#fbV$!6r!e+Hja{e zVFT!k{M4{GcL252iISIUBwD&ky@GMCy?Oz`=3{*Mj-H11#Y^ez0o1-ja3%XhSht$g zD_kY&1+pGl;ey$Vj7Rwh`SJ=`%XUv zr<>F6HhQpw8z7mYsPM{c4YD@pV-Pi3-Lb@_yI>d3o#tbnekj91%SX;${V60O@SKODO5ekSk!Y0+OVnHA zo|Y(bHdXt;J46$;7aV8=+#gwqpKEsk!y?|X&`C20^aG{X*9L^BM}UxylM_)_)y8TE zLSxtBaMB@ZJ8BpEyazQ?Jc#bMdKIcal@NC34G8760IlzkT?Voc3~fM?K4ih6Oc?2_ zIt)uaYf%|#_X^2xUV4Qpa&fmIP6u0we#bvUS=Opn6<&@DY3h=aePDKh6*6k1>YRtX zgP*})*zK&vf(!f0_xbS{#0*aH+aQkl^Yu1}`?z}y;^#T|eRAT66banrwyj4%0);gJ zE=w`KS@e_6^U;;43Lx#cW^nPQ)~^6U>=o$I(NW~3=Bu`mcDVBZ+*C_R;?GGUblvA5 z7NcR`ZDc&4k~vuYTyP;}S|tI5nEpqgAb^H!ALpAY0Msn-)T^uxB> z`fg*W(A3S0ucZ1MJl%S*Iv->)49&cwn`KC{8Y}_N&}0s#9Cb$pc;dG)5uG~t(0J~& zqdddq#6I$g##9CxE;|fMX&ulCIVgE!0(cD!9jEnB5K0b ztY1FV5?uk1R3B^*-Hv9KYet$nvFu>xA1)@}SDAS$8Y-#m*kk&s2e561C$R2*p8(&y z$bVG zSK1sVQGNyHh9|-@v(01?m?#jVG~1}@K>?L;=~%V1h4|kdGM4;lXoGX1N>wZiZU z)-e|Xxs474v3+Z3N9#R)$Pn_70i%H-D2zxlzHEejm3Jh(e$BP7c3k_C$%oAPQsLga z4FO8-Tu0z3u>qE_Y3{nRkh&OdE-)0w>h$Qh*&@-E#6`zB>!&rF!xbgv?Jq)flE zlW=^S4g$ue_aUwbk<{B=VgoEO_i536+NB(oGKC1k(GGmh$wIz2qWhF&h5=FUG7H)J zRMln#4Y2kL8IP>ZTz&$k0OJb?C(w~qMryX@Ur9XLxHbOh)w7K)5jnwtfqZ!As8_Lm ze0hBM^xPb)pCn&+kAwE&I*iANU-re2&%Sr=AjHx7_E$ zP2kxpgtT2pw|z)-N%Oq*YWx!t1%O5hO`-S8~hLKo~c0&D-sWF8Y@{(D<7CwK?KYQ&@8TwLBeY5*# zRyEv9UO%i^aWgu>$2X#C>r;ji-zk;y{%fyxSmt+6hONfJw6>n^V~ksq{A0~{aE-s+ z|K>maokj_`zv?glqyBtcKd*1`NU|Qw?tLq0;0&ynTLDR&w*r!Q-wOWhRRQ#*hofda ziYIFh2_qnO-tlu$6GtyHjF8OKajz32mGW_7EaY`!#Nt0rjD_qIquOOe-FgzsMarK6 z%ix@skv|}zsjy2AgX#7rL4YpIe~$#WF-K8nt{^`{&l4mMRdR+9(!B&@OdSH|o4X7l zEHlq~yR)M7s$O|f&FRRvg?m)9XBXmsd&m^s7#Ep^>=UXzogrg#Y0n-AidF1^C6~uj zhLDHMc18Hy4w>!pIBEUw$kVYQ3_u_4#PB844jKAVuzTLeAeQhk1`ioRSjJRxoG!ub zW(mg~WC6YMF?s{0Kd@aT2>5Jc0eefE7FjA5l+dL1@V@l~rt8?5Qg-3tKbazg_^%&( zwoPh86mU4k>&O%G82YCSBj4>T#DB$amESpjtB$i*vIrca zXwpGyiKMMAPj?$N04Y2-U@Y9M>241I+!XR=@d9eMyu>GvD`M`3E!-zJ!Fol3HHhEPFGqL*1Fa+-#2gBRGk~}<>L6CIWWAQC4ErwDjxc~6ZK6;mV~s9~ z2ei2u{4Nlez!9y%B9HEl4H`7`V|<|7*%grJCFziWQ*Qqh>V@k{MDio`DnwI4t+6%5_ zxSqAU!xGpVXRJ11kbi#QXd#EyM$YxutK@TCNvU|5T9hAc`~4FytP(lY#}ss0KA_Qy z*7&d@c6Y}QNG-Y7m!qtMPHhC^DeVsgE4mwyqXy7{`saHe7=^*08njUydRr0$AO;=eWJE!vjnkWi^M54Gva*fIF6n}}Z z49V4g0YiCd$Xy5LH-%-OVyA?|bqSfH=nK#l%jOgoO47S<<4bpO$i+P$;49R|QG%8} zFmwx(>G-cg57kxc8cEzVNADqMsXE=Y-H~n&S?e7QV6;&QD81|G<_a5uzC&xxkB#Fp z2Leiljr0mxVwsjtKpL+~jH%+4ICh)1fy){#>Y*E|{uH<`cjI{B*AGN;LE(r^1jvcf z2ag#wUX+Kh;a1=&BsGCcT91j*t3t;eGOo3|p!}jCX;J7fArZajaEALK{CRAH?br^l z!xO$OfHp*s2=%fVp1`t8-hi1ipTJ>daAr`k!1}hF$cQvpPg*B}tM1aoD0cE8!wAXD zE1KHHNb#I*#)^F7b;Uane9~q)1Wnyl=0HfsJ~P`*6p3lZ;2zlitayvoWTuViO_XH% z=`|ynh4{l2^EdOv|Duf&`n^}V_j?-; ziQTIO_^<9hCF1!4arvpI1ow(PQ|fhZl6@iWq#BN=1yF?Ov-ASA%`MU70(y~Hz@A)l zZ}>J{N!}gLEQ}<_KEXdEGww8pOzG`IhLDi|-7-fz({)o{Z`DWyST2#{-FYE$pJ$m^ zkC+mYSqQc1EK4z!86i`mBiGM?G*9lLoRY718AeFP?y})^mqoD6{f=2BLi2W)UC8S$ zd&)5K-OfVxO4DxUz8#&-@oX|uk5zL;bOku^qy0k09ZyhbeCd&&5hBKd21>5<)00d;)Dg>cQb(qB^XsYO2p&A1Eso&9 zL*@t`JY+7xgMIqT?R}!bj$a*SAonArZ(LyR5*v6(c;6=w4+&ou;QAr)2EyZ~5{EKe zfv3c3+&?~0O|#3FgAnHW9V@ag?@g4cAR}YEZMyele1Uf__T`5*O6HIa?5bH_?ublR6 z+=&8_CFMNqIFlLn5dB(lUTM7t0$Q4Qn}yPvC+3whwiF!2f~3XWN31&14sM1E0dGvy zXP}a(_N+cUbo)|NrQJPfrAaoai~%SvH&Vwjs_kSUNL$DxXT2f4&MyVa|NgaJ2W0Ia zXMR(|jX`X-Mho&iudDZgj9(Vc82vT3*G`u*to||vJxQ*%eQ7P5Vh0TMx!=BLv9#V0 zFbrM3wBwAMGk1PzZps$${+_YOv^1T}sV9Eb$*u+@BXejY73}JlIfW0ehWR~ILFPb^ zWkZB;WA#Z!<9pmlyYLzngFi@$vh*znqLBpcWt!vGm^5*y4y};_R>xtb5ECHYZ)|VF zuR)BldbjoHxN0?9At<>-teCOD5voYW+-(G$J8_Qa zdc6=PO_YGJSxAuxCGj+or>5|cxHL23Yz;v*B%+kuIv&fqZ&uK8+6h0D$`qmn9a}`n z{H2{tB$(jova@9Lt@ho{_yu8b#rM?lrTcrZEGHsmUkF(z6Y5U3NC@7}Y*jT+V?8#u zB-TNbmtB7X2%h1pofs)J>NQxobQbWSM+}yXxXLRLB`j@z;6dPaQkg3RO!{??D2kGp zu7ZE-p!}`D&JrI%&rIzkVbPYfn1RqNwCbI%xACckRuyWF3Y9hf$?cd@$uz~%AAb~I zs{q`QyKIQkgt}}JG0?inD*~QYLJD@N^%}YKd!X^~TIWQ!gBp0K6O+k~l|%vUfgueAE=UIJ(|TPR z^S=66O^H9hv>?CUmZ$5qq{r^4O&4LvyX2aTLF;vH11tp|11*RSE!UXJ6)radQ+ap_~YAuz)n z$&Ay}lo&F5j7^-yrr#0e@-8qS zUB-I<14iw)AxvgX1NSBVo*}5mx1Qn&^gdQ+>^fVR$~`p9wnr^--M2>ywn5a!!J#J53i)x|Qu$31ww5CwEiaH)A@s=5jeJZK3 zZrp+RT ziO5|mYOGIl2GnXab2*vD@nF0qVq4U+oP7(832X~kcwUB%tg5iAQ&fl}nK8p=&Wt5O zM@2(jJ^LP%dGPFKsBLc2V=Fe4+>@dP!eUO7AOu>|)+MU!F=|C_|6^LLx)Y!)+6$OY$4|f?Ep4b?_pWyw$@SAqf;x~^Pa?4lPiY;sZ4Cjg@azNGL58oZDiQuY8M z+!3$F0SFQ48-NL+L9-whWS93i7&Q#Nj?ZBV?A68#=w6vE>)60tmJC#E!d_DmK1<3O z#8DEAU0XQHl8r;88&Hn2WEml7(%tTkvSg@Nqivw51(hW$Ao5b69A(MIAIarw0QD$K zRxu$(fOeE6Tf<&qV^LYMam*>f62kSkEZO+N9G$jtlqJJQ2|rf_{SZ<13yr>hU^vQ> zjiyV}#@$httb<@&+CZIPs`%i9&*}!CxN+6SkE&e`9vmBzqiUB&a@Y0vd2bKnkwaa%5 zwUL}oR6WX)Rs5E=k&m)uAcF7lJ%e}y^1;62C`+~h5cZSX94z>{2YIYmWgIJU07zg= z`W^WwOD6HAHdaSjGTb*Mju_;QtJn?NKsnMbfZN4xg4j_Wj^EMUd=$IErBvdGhXOc? z-E=X^RAn5+Zcw^S0VD;GC!tFYg#c+6yV0UORhJLQiPP?Gil~Zl15kWh#tPLJsK60| zVO5c3B%F{R5|NQXC5DD03Ah)u@myA7#{uxv3ecsh1k@5p;Pz?YP`pAXs&cifVdiU8 zFf?!_AN@K%D#~VX(Kpm!uw9$EsMYAc&vP+w{kV!MzwOeib$Fpr4L^8n0CCam=avvh z0sJmE0U$NooPiA7AbgiqB~ZAVyrQMTDpp`ul?dwgciIN-?KDub2r68{Js;Uo+g;ZV1OTvNx{;00B_h2l$y3(<0qubS$ zq;ceC%8=Bfy3*DR@V9a?sBur#Ll|#IR9&fqg-EtDvUI7s(l}w8?(=cc=jux1fa+NX z@pQ6neU($YYd92zimWVA+V{Ci0Hi2)8my9|{!f8ZI_P3Jsw)+V+E?JHu2fJn^tBk| zn81}^fOu3_+UE!A-L*e(uzVgx`&5G~hN>%Jqv_fO?<7@M8jDPmV31$LMU(=9x_$;8 zqZ^R&87`yiLDN_+ql;?qEni00NhSEp=sI}s-DPygZfTOn^c>x7emq9^%P#q}WQHyR zJEZ{isIG*hGMf*7R98aig&xAS9@UkQFQ+@ImZQ27w3W_aZAW#b(Y6%49Y8;-E1}@A zj&AcubtRN9Qw4x@YO1a@6p0NYT;Nn)39kZ@_%ynER9BjHj9?lZ)s+yYq1s1s!5N5M z5{8C>a#UB^5<`D;b*0@#PDgd65}cVhsU6jo#%wBYXa$d}3zsiX>8P$W?q0c?vOKCQ z4eQKIwN#JlN_ZVoT{5h0uC6quHe~D7QC(@MhSW{zAJvtlvXnE`$EAe&7<4_h!9qaQ zl|W}~r&>I!DClqM;tul|-wmhO0+)r6K_}&vQ`b1FBoM z^HE(1=e%vsa8y^y$Qe}Cmyha73CBac3`s&IEj{kyQC$h|0LkX#sIG*k8ND(}=jW5CGyJqL1p&(VY9dp`U2 zr+)@#z@Pr}e}gmUcb`_)h{o%Wjbdp9JGDl`@E@boDnmHnFj&hUblZ~ zC=>54$o#3Yeo7O~YKU_U!ocKT0txX2nZHzb(6FZGWfVZL!S}V$PZ8pJe}7-w%S_&_#;kst``xyul8$XM@!+oNyXm?C{TG37fMss8ny z!LK2!#yJzh9Q=?oFNiX^|4wB7Qdp`)R~AaM=-TI4F+bH zwg=ZYO9e|JfEFSx2b3_7Mfw2VOLP{YLpt_lPVu7B!ylWuNs2hB!CE;MI{__}*SD>W zJd4Kd%3{l>iRBrx=(M~muK7K8@-zR!CDDGC9d^L0BWrAC`LY<+s*afz0VB0@J5}Jk zvUEf8hae;U3(55hDftVjk-O2Ju#bnJi(kl=iOzq>9LRhB&FWyA?(ea(!pxGmudM#0 z86P%g&-P5>)6pkX(R?HJOVRCZGjsMPCGe>%59Cm=mmN3>zVRMtxkHm}%eEl+&EClD z)f%bE+l>tVJY$?_8lxta#7)E%(a5E4^!X3d%EIF_bn3CJzF`JdV_K32LFK&HROpDzYe1VvCgHX1Sjz* zS*4{M_AMd)*w@1(Nxw$;*JkTW2^nW+SKI5dDi~Q@n)FLyZ~FB7sY7f1p3K%->-85( z%_ua7t*Vp%P-?@#HjcxqQX@mixG}9tr`VU#sQ>j72}v%8{JkJwoAGh-(Pq4yd}(RO z$z-|oAV^o}48dFE9jMOVfi|m#K7qbixK98Tm+nAt{Z~M6_5M>QK#f0u-Q5SUKkxzU zA$b6M5E-~w8^%S^+T7=lny{{nq=w1w(VG*hf^>^H6h&ejG%xkhX+SA&2oFq?c z!>^p?_(WD}=GAO7DoCmBemmSLNZ-F1-u?Rh2arJl#g0{C}+Pvy7MMmf>^yiNM| zJ&8UhJwE1P?a|lVt-Wol7`e};jrOWnwq3lD~a+J3i5uHzTKP4Rrg-GCIXx&mJk`AZ_75l1N_? z{DJS0?UQV1F2hKl=mww9prSj@y>OHqaOCPA9{JfpBrN(@{HlXr=@6w9mrP)h>@6aWAK z2mqP1kXOK$AqgBv001BE000yK003iXWnpl0WN$4(UsGvpYf~;~VsedrTeD`jaoxLq z#d#Q3CCre8`^7IQnUq{fq(~(z75b49M=}$M;~I_Z*uOq&0ga8dzk_c?s#G&``d|ZS z^c~%e&wl&!FaP1)5AQyF`|f7(${`&X7`{CWcy{%um zFZ<`8{p2(J?pGh)jokse$&%57$ z{BPgA{rx|``}SY{^4B^s zGDdKNAF$8A&Yy;tH}U07dU=yy-jtU&_2o@_dDCCMdHYW<|AN`x|KTO+SAP24cQ4=W zAK`cY^zVOs|HI3_eE9y)1JN(v{^jL&@BbhE|J}cT{*(09zfEu7gtu?v+c)@2e*31p zeN*4QX>Z?j`?*`+{lojeet7x)_aAZa1{C|1l=Z9`T;9R#K zaN64sxPsfvAAmxg_jm6;{@c5EKb+?d?moHg&fOuh;|GXf;Q?~P%x6zY-~~iaGWhyy z@4k8)zI55S$%6cm=a0heN8zitAWEk{iobcAz6|3>-}o=%?bp*qUKkcq$A8~^;lIrM zCI5~4vi9+p{i83gpMB~_*H5mA@~_^;FUwp6w$XGE7T&ct_;+D?ZW4il?nDeh879NFSOL+*B2zm-17kvV=T4S10Zkr1@OZhUDtPoCgRAX+xUB zrs9P3T+{wq%emUudh(d*f^?r<_7hT}Pr`;&{4Y#MkFNFu-T>*jvNgt+-bZd#X9vJgGUM5YNTh+7iBa zq8(6a4tpN_J+-vPw0&|RCmiv0W4bUT7x>4#CxH49Ly7Cy-w1qES$Cy}lNG0z(>? zVEHj@2*zYvb)!VPARq(~hT$N!Ee}+RIl}e|z&yzp8x!N+PXvuZ4A?v|=oN>w=>QVRC?rDI2~~NIGcibk;B-Q7zMFJO zuE5ThF|nCcPSBxQ=q>eF4bu5fS%J^qFpA|zSv61)l`8b#Ya~`M*ENDZ(K&DFyNk_)Op0F_m9}}KS{n9_! zjObIW0QfZs)9lY=vTUU0m_)C}9f>x$q-o?{j&r5E=wo^a6O^>>Xlv%QrA{EhcxsWlr?=)=Yrl zZHK^aEOy$JE7}mS)}l9@Pd`E)FbYl3$`PYD`5KNGg}hC* zoiLgLtl+pX>b4XM;KtT%3@mD~)-h){$p@v7MAwTo7(u-yoFI>O;&a;)3%bUn+Vcql zGc!1az=pL|XWzDcJp_JV6jHs|E->w&w+sh3E3t?{ALbrG-$dCd@aYx~9DeIMIUFK% zR=;R8_YLtinpDOL@Nw}9uu`LISOnV|geQ@LTl&X~w1lw(ftOACCpZG?u@v(@GKBx8 zM9SfAbU^OwKgKh9?oA1Vrk&rb-d@`SMEu5AkjvM6gdChyK>i`t&o4(7oYYB9m?-4-^O z2Vb*82{2p+7-DTIsj~g}@#Y6%AI+ zKD8qRJ6aG3r7h&(OTgGE0|IX-34_CbuW9ZS0>wLFeOL*@lpe-&*cd~UM&(3@K78Ef#})hs^Se6SlV9$0rx(H&npO|p%2NvR#Fvl4kN1A7+6zY zltY5lo2L*#@}g8BD}0M52%NQ+ZJr2><`W%Y`Yu8{!#YcA1vgi;()pV>V6Y>L!wFLC z$1%OafJujWpe~`l>sp%=2Myw|Iy+M=vx_SVgRtcz1R8F*Vfb2TUK{{lJPvuCT+Qvo zC5(cISqvSW*QyJgR55-tsboSD1ds3>42@=EU^rHBG&8z@9v#2zlXjvC4Vm)7ajO^R2C6ZGy*bRA)7 zO$UHuGz11_N`1`(+(=jxng@=Htr|w?2?B4tJ2Agu_4^Tk^0WXUH3Z^Jy3~pz6?X!w z>28jxaL2`I0AI{7ljrA{Px||%;R+=&dD}dS&8tt@)=Bi=UW~EWa z;U)xr%MOk~@WMx(Uju#kB4ZdsnEUC_{Bqh5tHsU-G?XQbf#1z0mEmc?eG^v)JTu4m z1n+Y@wiMG#lEbwKx@sJ7BULm#st!ct{OJH(I}jT@?&`Wxjb+XNk&z7$%o^C zvt{$p*O0(nYQ?13Qn#?^d=#_OY+r9gJB!YTUufasA@yD zgspG{z>x>3{5WPy^2d2njAl7-ZR)yhjq$LbFv1Y+fP(;}xg*|W%p*4;&bqdJG=-@67Xdg^l zbI5}vHf_)J43|WbO9t2>P<+Yc0R^MjE;xQW9}s`smTT>B0OlBaJ$btZ6~@A}cOVvW z*(XQ4s`P0+xd_;{gr3`C&+WGjfh=hfBo#aH0V0;_E68QtK0*#=@oVP<8p@fR>9H|r zaC1(s8OMD7%+1VUc!2p&GWDcS>SVsuI&@Pm;d_gd*P2y`CeeIC%)GM1j{RR z1hO6>5G2|3|0Be~92lYKM~Lv$BV_a8b4UhZDeo3gy{|{ept}Jn@$P#$AN*J~Fm(65 zV7^Ye$dHrjdr{v^#)G+h_q|{R**uVJ>U+T}gT9!MuD%!V*?OH2sLq@3b>S`daQD4v zwWlK_ReZy~cJsYL<&}V~;O2Yb=Q-Pc(p_6cP*6BRh@NO)yZc@j9bh3h-z#-0LmPAR zqj)*jCJsuw`Ch#EF2^x&bEDD0!#o|f>$LW`wwv$eVYT$remZRasbAo?-LyOw=4@?? ziC&M1`EF6|c|yNiR3Ic<8w6I>D@y3%>CL`|)L?WyY@Th~!%9f5+X^5#wuR`yC(Xu` zaJ$CnvD+y;hupD4A8+Bh!SIvI%gFn>g==`Ga?M0b(5M&cTfVksNTvi5%NmAGpAzYD zOoCYL$9WR0_9=0>wkV!y0uxRjBJ=u3uJi=*fCF%Pu4f2uw+(NU?0cT-vROJfpCEyH zEXFMy1X#RsIVNL52CBrzYfz*UI{{z2`GxQpZA?(hji>G65#maj(MWy`R9Q|`g%#-K z@+T9%KPeEkJ!x)h}5A#4))!x_IZl1!H zZ+AY0!A-O0z}taA<+D7HF%L52xg32idwDmtBwxIF3Id^-e;dQ^&HdDyrvT^6;!&9y zZQ?0})N-;h@#ZObq9h!sig}%3$+w`M0{&X&)$X7-^%PoI7&Bev%n*rq3JnI7 zTdZ;O6k4vujySuUr_gv?VTY2`Qy?j&W)OGx6hMt8Y@jc0{=i1WbBN&JxhWl5+om*l z6w+m+ez{6JoCy#c!x8kv1ic%0LQ>>$x&s8#qP2E{KpVhxJAG}KqVWvDK@9cu3Icx5 z!;l?U(*C+xj8L19+4%?ovkpVnF?)SGMZ5xK%F%$pzzjhk%ygMwBUxQ4fZkFu9AM9| zruI&u9%fz%BsQGMD-#5eaYHa6F>Zl%!;YgdzgG=cGkE(N^yqbo1=VM|w!9(G zRm~vIZ^JweuVY-KVAU0nJqA8WskR@;#VZgDNOd#A2@v=Kai2DCU)=m!V}!PCNCT_1 z34vzGd(|ZTJm+a%c#ugQ5N-2o47oVSdh~4CC-U! zD&PrWmdPFiFD4{gTb1l9KuW3J#$>;XqUnw$HnDO^HZPa8ry>|8rQ zY7b@7C@9}oIaAmLc5=Krj{+$!1e<4ActQuY*-lF@2(wN0aunmNuDlqlJl zq=S;}YZ+$FWtdNh@ed#&YzkpgMGR+1n|Z;^QUE^o3ScS4UTxJN(!EnA$_$f`*a=Qn zjWtY{m$=53_D&=3Lh?GFEMH5o@{PB_R!w*T!o{V0pekNT_(kzgAt11QnM4RAZ84r} zJ5Pqu6DF$}pTf-F6klMS1(OuOnqWsHEQo(+q%y_I*P)0BfkY5UEi*!f6~NX^d)1XU zQj6~HcVY(g96s}3E$1`oc&V1chXWEB=Ih|>z{63v2gq!#2bf&Q1 zs|%+yO)#KbJDsUQ?q`}9r1PgUO~6Mkr!!43Dq+|j&Q$g3{Y(=*;BY$Agahj3bf!=- zskGCXDkL!~Az$My*pvrxIv6aR|w&RYa9YN_=Ic7113})Fv`5sndtKx!h5bGuDR88#I;D+2V9F(e!#Uz@&{at z^nbwhbB*y_%Z$6OY+vgxh@RuUO0*R~4BzaK){Ctg!bR*5`WEGMK90pG21)R+1mbBm zO$gl5%S#|~+=aK1Tq)K@Hc0_U@3?|iYtmwLxPyK5qX`ptqp9nWupTIx3*1D zv7l&_2+k}z23CbZnC~THAU#bUP-<1NcRa1v`{c(60e|j zI5ELifRjrbZz(Pd1*T+4`)hRKoSj@}0gs$QT6!)sD<{YpSM0Ru#U-&5VM8w7hK-bO zYl~*77Dfccvdi@OCWMJ2bwgb5)Aoma$26M`nAy3knnb4Akl1Em)iI^8wvB^2ZVILb zq=~=%1rYV(EFNOmw&hd*=ef`18B{mBw=@a=s0RiC$k@q-TwdD)MEu4_$aXlNL)6pL zfWS=~7nVTX-BndB3%npuA=Qp6HC3;`T*xP3qhsKIwRSWlGOJ-k!S4k=hsfG)+fxJb zusxOL_w7wn;IutvKrHX*L1N07`}WlKy>CJn_#E=My>lF*{{2H7V#KFAa}PS{@*xb- zD5N&jkP6!Dv=|-%5mG5I7XJ#6sdP>w821W?IRZrjvxFZ3B>$1U_8JhYD~HL+WQi(| zqUgqBuq6{yB|F1LNt{9EOw;9i4S?kC17U8Y3-0BHG>QUX5mrc|Ei>T)jmupYvAJDj zp&Nt->TZvq1u}7!Xp@TQDe%DO9K3Ir5!I!+s>myJ5o2)Y{g}fukw?7UkVhiSYXEOe z5&;3yt*JKcWdh*#1~EdM^L>5_6cdB^z`1&Ai_VK6@5BIL*<6}CkG_-xF(jrTi)q5B zQCTDW_T%X5H=Dz?w!Uu@g9oovj_=FRims$1{9|4mJush-nQbE><~>CczZ;<}9}I}eQ0 zgJ3=!_%^28aBr|o0Ls;NpSipTduV#xXKnYo0hXm<{+L6+w*l2Ejr_&#GDadU%S z=b<4xh;>n?%^)1H{8qtRB`nep=~$;7^U`PxH~Ha3KBcGX=|}juC#n8==+ze(fS6&J z2Yu34S$Z~^{L!eldLkh4p76R!FX>1H(!@7f>AT8hT4-ouyLXOfFLWKIztZQhuHdku zDE*1wC$c~e^4H7}ogj~zEWlor_b*s)S|`w@y7d;qK_`+oIw0XXt=`P-9!kjLxjhak zVP7%eLjb!r!-Wrom^r8ccaCnT4*~5ES!4Cj8p2xNG&ge}yv*KtEWR0t-j?PD544y} zVgRh%1Bc*nqD;Hu`iCdf-n4qk_#{jkf{@An^N9U*%3Br)#GK#qgVZ3{p1Ln88ypoE z#?c{+kyEmUV{Ex`ag7zOR+1QUwp^Z zn9iJd-`pxhY>&T5+^Q*3IW~T3$cZ_NGx7zRAetKxjc5)SLDjf#eM^<7m^aM!pSa3m zXR1He%fkLNm7Ec8&{PD{W`Os|nu zpYFTJW0kD8`3+)ui~xdOZmlqd63znJDF=>+!1g|_9J3A%W~6^ld@-^^1cqHPV(NTC z1P}5vHyZkoaU7qAcJ$pln0TH~{`9+^GFh2#FiP;AUSv)FV@3^*k#kws(%XOpbdS9> zY0O}d(JF<3(@fpVKoV5wP)DI2NVAD|dFZD?+c1vfcZ-@~Xx-VMp#uIu5(mO2nd~0b zVUpSKFp+7|fWthSOaYYAhA}PZTjmqSRWqqKlc2e_Oxj{mE5}UIBxF?vVfIA4zE#Wt z$a-K7)6uEGgC5QXl2-ByD zKf}9G5_9vX&LboTHa5po@EI9$v{P(7nb5O+7~b>k3USap=3n@TAK62e&P20CjAOKbdl`zjT|Xy=$>)~}!OEi%BGk7K z3_2d&nGr=onb_UbJXB^md2pL;knXreM@*(}O}xjT0tRBZi6r_8^BNEgQegB$A;5km8Q0_f=f zqUV#WD2iuo^KWEZa8Ug@Zd9xrn6|>iv%g*VyfRN#1jxXwA^}d0OT1bi;7}i~%wFKY zzz1*WKd*|~8VG%}UfcxYHe#o_Y{N8>3tVfjMI3p zlKqsrq>i~{cx@4gQ6WMlCZB;$;hiMROm=pz2tN>HtbUvp9FlnWLc(Nm8rG4xJx4fI zTUcoy1Tr5W^2U_5o9*pkVTCX>4B5lWA?De@uTNrYxXgUmALL7iEtLW&y)~F>5&vjo zLJ)153iZ0@O)T-mkO|R@x(OJ7UqtBNXV-M>2I)IG=xBXZ7z82PCNaCfr#~=y98G0Z zu+c%3fftbPx6{o+$ugE~`_6Yb#>7;H>S3?u0IG#ztVcEUIKo~UOu}C{9A-aZki~Go z4L$u4AAS}Lr4}7?z0bpnpWvX*kEk)R=#HJ8T-*F5=m$N#8*y27o0c7a%%X&_7CTVq zTHxbeYsyO=L5{SUe@#Y2T`r+rcaQ9ad@3qRacS69amj3lV6)wsdFPtIHedF0=Lb&` zm66E!$=;qBKf&w6tx9wv&*e*czB2eMZtPA37Gg(z*d^nGD)T;&Vj6bMS31N=jZwk|u<7UJ`Y;{4ct6?*e{UM{-^QqA;Pq>YXpyv=~G z}C+$7i0$LB!mf$Eni-Az-H*-|WJTbrg>*2hse?~d!)-)-k^AltTx zofo7iL4wm0cqE-#FmH2AW%{DyaJ>Irs&2}L?2)Z$k>5y-)*Ctx9$~nKz6ghK5@gD#yzT33x{GE@isuh z)mBcvP#pZ1%ZW7Qv*NZelthZ0NW#3m0Lo&)l}+rs?T4l#NjI+uwz>@mGJOJVyuCBV zT0y{iyoj;IT052ScaF7_X{OWH^Fu#lff{}rP?}KdB?Caltu%K>F$1>f*iC_C9WUqs zH_qf(ZM|}=o)UkotbLf(2-&%gSZovm049lDaPAt7bq&Xfo|vKPs1oX2Lj-S}(3^g($eWe81U@kSEut9Ykumla@m3Pl{QXXt^Dd$NB)yU~CsSjhRiUQJ} z(GwFR%rp`v5yuBc<(xICM&gH45u|WB0wP)q6?ZBcuJYcdgaKMadk|GIUVCA+SqEE+&RJ@Jg@g*Cc0>#ch&C2bU2v_4*6K|rG({oH?g1d)q$XOD{5qHkh zakePaj2xUZF1IRaO!B_kL{;i%Wm)mJyt4jT*HL-(+4GE-fUgcR#tk2xy5`Cbb0lvh>jk>1Y zG3WVOE@K}x?PzhRkNvQMvZ|Sf{Z3$%3AXCZS4**JLwjX0qIR}gh8;ds#ZNPw)wtU; zn5za!-d5NqG200~qQX^UCu?h5hafXO)C3z*yh=1M_(DFdK0{Qk%k3w?0B`Kr&zy8L z;goi9G!$Y^E#DBd9?%n8NlBYFXoK@Wc@h%o)s$@-}-7gXFVFM-zim9mziHd#WwRk%{))X~^q0%H9yQ$_Ffy856- z{xWx878_iF7t=EL9GvlU)Tw#9#wj_WW;2Fs&5ZO_iO~ua(NH{k&4g09vieG!3a%oe z9Im-|re%{df6rgYnwh{H%^I+zZ2BEW`i7mf&_B&Q;Wf0C+VSx^DmkLv@sVDr;#Dr? zvO!*d`)%B2s2*osawy`Vc|%r4%{y<06A@`xs)6EGcPJIE)pB8BYVmkriCu3qNFCY= zNJl>FCe<-Xb&x+-_Q<-g)sdgpYqcU1-p&S}rQN zSz|QOZio--j;b_23Z&&#`FoJt6Q`~ z--(g6wAc(?ypo}t*RrLHJNb;thxk&Vz4|csy>_$(#=yhaYZ|YG38jusg$cKaUvgrz zCOijbU}#!``Su>2oW^s0Y5g-Y*wiQVr8RlxniTjhwsq#YB0OIi?7rICJmVl z3N&h_9vR2rl`lxC*Zl|3T~^DUOfkpanUcg;^Nx7n-d6BM0y26T_L~Fc4yYBUIeKyM zMH^YAx7RV=1D1?ato%7(_*>STV8~QdPWQ%=lj#Jyy{cnWg*UTgPsDL_0u!!?(zZd! z=Fgi(kNn6>+Ljz6nl-RQDrUoy>(3`a(Jj|dG*-PrBX~$>My+Oblrk}=339YlH6R1& zJ&!btf<-f`H7lt}mKdD7I8s>M;_dQ&%&X9 zdP#ap-PfUgbzDTLyRj&EB8YC?SbkESqBfy$LQqDIbN-b9z!k6=rvi4VD?5U`wTEoc z7=C7|bo8urj>V7hh%7eGyR9qk>7vqcjMcv4p{}Pdc7airPrwzrT^Q9v5(xA#V~OFp|}TFU2f3S-%99W_BU%644|^}_X06AyxU4Ed=D1E*oy|o!=k}n zf5e?^s`6=jnlU)eHZcliIMuES;S^0ZeI)`iHB%mQ;|HpSdJRUc4Yse-5s}vl4=Fi@u5^WdSrgIuQG3 zyJG@x?7{Z&vP=aNmYvcX4|x-wx7Mjh;;mSb|5mKKJD43MlLNx=HbX$7uw+mABMzs@ zMAjsnMX@<1ZKnJ)QQ9o3{C}l~I}N?9Ag-PS`O1^DetIe{_GA+Wx}lBVjzE#)sd!3v zI(9S3!<@hIw72A;^~4zGztO^+@|84mQwGi#UWM0HPGgXFXkItxodQPvp zi6rL9q<9AB#Vd4y=y_zEa)0riwj<*3I~~~!ZeB`CF%&K3U)zeTLuU|Io!RFRe$CvV|+$3mND;mG<#u#W5MV)F?9iRj?zBc zXjpJ-=sh&wIP_nYv&a*(yH}!5!DPt>2}P6ag+(xH%LX;W<_Ent6jo0#>@#Lz(V$7H zLd;pLb+~@}n^N5x4>mjQsXep4FydYB;VbZ(j~HLx1FuebVXOUZgjptzd=IM=?rE!L zBpebPj%@{=$mlkSn;&1`v{cZWoS5@K)&U$D4ZxE0&`QsubxbC%1QSAwc8BR(4G$(a z3uKvts3khZ5%2-IXbu{}Ob$EmA~gG-aO(?VY4wFmGs$(=EtO^bs=u}8 z!RsWMbq;+SJzUS>3a4N3tqn~hWv~Po0Ct2Hv5`FrP8>HBH>462kpeesD%~f-n`La6&Z(?*+ zw6SuLblQOI5{4j2Hb>z-Ehcehey z=3d848X~r4qHLIA&g=sl2FxYH&@0Xq#i2`{+&ZN7szpe64k1f_rk&5jmV;3DJ4`7pG z=#Kuq-yPOg3ETd@&lbyFY5n@ip(TolDKpO&%Nm&|MI!AQOqV1I3Vk!Q7;P!5!xoDJ zZK83}uCu;!Uxrvsip5kRYNvj3k2Q-zjXWhILp@gQa-VUqB3;)xgzeWGm z#NDQlrR91+V7d@%$bCO*wyOEF!j<@#VWI1G9d(x&R7-F`Ea3y?wG zBD*JAsjk^=izP9r!*i2-8G8?$bl(T3tZZtzX3f=+{!UG7(~RGv2pa9unC<1C;aaXy z$5IP(TCWE-%1G6Kc38wzQIA-g<^n;CsdFv*H_noFSby79I~-czv-A9n9h>yWFirLy zHbXQ1N?!%rbuzPVXDS_-cfKlgik4qA>htl=Ptw?KhRzO*P-6aT(a%oOjJ9u?Bs*%< z_dUIwJ23tJ7#ed;b5AY$TJG&kz$N#)X8arHQCqB8c+muhO?TsUbImdHPG&fqV;hss z`V>u+m43J;b%k#9f&`>@demsL^sD>0{G8Lr z77|UEHxO9;V_;ZLp6K&x?r4+WYx__WO_N3UwWqH+gfd0$wB_4^4+hl4vnIv>>%;Xg zHs1}GcRT=GxqMSYBYF33Y6Ed7q6_6vc#gA=UPrH?u^Aj; zK%weJj!`N$1;Aha;U8g>2{~ZUn91SKib3G7&Y0*l(Mr!~5*aZm2Uc>!D>A&(;3}A^ znaXEt*R%x#kqC`MZT9XN{axeTc{8Nxt|8I>d>qJ3(~L5%zAPU4+CuVXfqMISAO2jW zWfojUQJsj!8k2u$kEShaBpoy{l?^T@rbOxJqLFNb&+6b^^?Z(t<5BUt8k(rq$TQu;Rutz^jQAH2H(;1B3 zzIDJBWT{{jMFoGJwG-1Bsh+{^U&?B0Oc(nHhaAOq-GZPP-1@rgjluitMdY4sc#K28 zCA)etCUAr-n|*|)^TD-EUwMZ>TpYuafS*?eT7Tf~YA5A1>JPJ@tZ$cIu|)6}Fz}5+ zS2GR<&AQH}WlOXS#GOF&QP9X)HP5$kzE7cI z;U(yU4Q#-3STNUrYp37V{oZKS`nWTxggp{|daz-maw)%~#wM7Sq6&%YjS#!YFz-Y| zEukf8Qk7wqWR4qkYpp&=vcd$T>;5j3$-QO+I@1z_OzUqjVCQ)Vks~dc;E6%3(2(snqW4wgR;9kP1r_NrI^YZ` z0dgo>{5S|RTT_SH|7Odc_h|!SPbAj30NNPgB=xH+zB+*<0y(q*j%3|7i%aQsWPEPC z!)(2fgq=*B<+)IUV@tiX=fK%wn4MSm#P5|s_PN6vropo~_`u?!&uOC}(!%5-Y&;kz z2z5qg_{j3;~}bfn0M>BVgNuYk>4~-E`wN zwNgdOH1p!1dSw{NiWv@*qES7atFgZDS_kB`;28<;92W@;9^x5})->6_rUn-2mDZ`g zo)SIeEObKZwHREhN!qjaKIR)Ii`fVN#ol-mQva;Y;P7gJ8S*f!eh1q5)K%E8rK_xs zkqOB~tXp{tw$-qHXc2ubqFya$x60?7Gh4cNV$-PKaiQ81YHaLkL<5Dxrm{?hBoW6x zPcb#h$`inWj`*tf#kZ=BH?XyLrYP`EH+Ed4I(2nYFsQ@%5iors3a|l?8f|nF+mxYN zi4D;gkCKuUcxq*0{`N((QVW3F2VZ>fJ?o0n7dPj4S*(~@I&f}+M-=VMFaG?ob3o~V zJqU4VyWcKgYZO=?5mgg2%ti%6O^+2ypO@Ooq8=pK)sy9&6|tt?mN0)azL}fCd)>0Q zu6(kLJ+jzX)3<%a*>5?uAbbEt!b=4l_haMn4A^U4Yz7;Fw&qcWVY*)$T~iwtlYe^5 zRXK3E*p8>;au0~!0j|w7Yp*@vD;*Jmmq0pl96^*r~{hsb*wRc4M*f8I?H5 z+7iMVlPy6@I0S1j7D+MnBd>NM!p$D4l|xF%Sw>nv(N?b^Zq<%&-#lBcN26E_vmNlD z-2?~OU`m-#1_^)M0~_xVe_wuVT+mY!ogTLQrWp)!T2WZ=OWT6a`ONaGGej~L#VJ!zP<`*0i*-Me!Re~75nMf z`^NIv-3Pk{^5q(uez#SrW&|gm?8jN)Z?cYE0R)P09s99;HsiN`{+gf9WvA7C)hhcl zyh4|Fpr;kfy4WSTJ*R#wG63W;sJ|5O?tCaOn80k00e*UV)C4huWOVq_K2Wh5xlhY28Ufz$iOt9#lVtKP(R8C<=)@Ox@ED z#|}X^<_5zoYzB)!?2cE4Fb)aNT?^1k;zprUzr*=Ee#X2_`A5u2FhN(^4Rd^|f zR#%&;35wT6kv4XFq-6?(WIFKavNC<)Wspil%1iw1KVDgmj;j6M!9^$SEP*o|KchhM zhcU1nA$Zy|mgJg0OtUWxylq@q0|bG-c61|gkGNjA*9kL*Nx6`MQkMB-yYv=+x3yU} zP9$#rr79jd5x;CW^fx6mEVopUVHE=8SgJ)|{E*|Q(A$_~%eef3lh zu8NIvbi*}I?3p!VmpFlG%N~Z^nq`9~zm>m~#c%Y?`w;<4e*kM&o|K|tPw@YQpT>6q z9+erdmaiya@T&)$wra}|&~lK#s%O&A^09QfX;5s-iTSo!$JE-o-I7?Q1@-aI4NCTqj0aG%3!=| zqE5{dQCQxSLDv-TZN!#xx;2}_l2)T|Ses{{4+^G#W}!4(SVxG&x@p_Sr)9i7M{>lK z06Vd-V(pfh16xMAXDhL(=bdHMjc>d{amgPE^{!ulsL9qfjXOk49Wz0{?PR{>wOdlV z`5&tL_EkMMJp4Wf714$}+&0}u|7w`WH;be2X2WgAq!u#(#QF%D0<(vVk+YHWHf5k3 z;%Gipak)!qVD{)fRHt;Q<3nClHix{^9AV1d1i?*BoiUU-!6O;9ODhA`4`>9pm{gH| z^;Xd2I>Vfp-tdRUgdTgLb0f#s5tr+G4tcXwFE#E=}Cs&GH zUa{*8mm|@NMiB^s!B<7fPDot7;k#b@AZy^$LRA1o?NsIK7*b~P9l#fCKr1YXxtJt!WJe9(y?CILq%{O9&2_e zUd53i4C_Hqpv6r-8+Rp89wjwwrwZkf;&AW|3NkgUAF)U9s}#A#Ut}=?WtWI|WY=;P z9@`zgVR{k%zW35@%!Uz}o`@cBWWMGcD36C;9+Q8OLXNq;=#+m6wLU&RHmUhG1O34YJ;vkDN_RWtWM{a`f2;Y zT}!ViP&m7N(gz_Gjs7~-w)EfgSUpjE!mv9$L=Cm;zUXA(e7J)E$yT!-f#?W_f4- z&?(HO@@JrsqgEwjv_Kuef#M1wUz!EQPp3C1rDl=bn3jn=MB>eTeF*d~NQ%SMuSQ}Ma43hay`NpyT|}w}aeH~Ee61wN zG&7uI3<+=li4NYBX=ZN9J}e4u{qok8((kl!@o@L?v#nV$6g%%0czmO}qFc*9J&&9u zTO_ayITcY=cy0uyIbraZmNb5zvPI}VW zkDEldlk*ZkPBDD`dL-&3hDLw$$#1KFrI(lPSH;%$iX1_-0P%n(v?+Oxk}|^Pg*OmoI;h~p``N*4wX70#_UGCn zG?bsWQhj%@YZI(3TEK67CLFAA65Ei03u-wYk~j2advf2EcfM%gOEyxg>3b8XMTraL13&i7U!}hOS6>S5jaG7DBdxZH``)EnkPT)y2cBw+vP{Fp z$Hl61UU(?d2*p*yd&nX-Szb9t4R-ewDZprh6rkmm7@REM@LQ|BFGw8ZYc_vhVC zFNUwe*6K%B?>OmOBU=*}YroARUY$I{JX0f);u}ljOMBN207l2XD9OuP2R0G;o93pq zL9CzCOmbyzopK6Y z7Z7bj5Gaflt`IkRrrM?JA)i!Sf$vZ z5Y6GAg_EOl@k*r2KAAPrH1d#AXDS)b>5kD=*dUi(eA}%=u<6nDSu6Fgn?(U^bi;H6 zA>36m&?U78pjuz@8vHw09#N=SXA&za8nXO^H=AM6FFaKGt%djU%UFa+tm%NNXmGPD>)MS7jXWNB|1wt z54*7#y5zyety;SJOW*Qz!0^uabXIdn1i9wAdGV1>dIXA@HYgokb!~c@`oNR#hu!_w z?;(ie#kL?n?aj?pWnDYB;bU6iF;YAusT1zUfH(IzAF2Bb}i?TGXyd~psIr((~a;y^(KM+ ztq)SG;G7>yv+%x)jER3-*tfw;8I&+zEt2r(V+S>=VE4o;MNKVg(#Vl=j%|37 zu+mT>M*00h^Z}}*v-@!A14@ZPf5asreM|eH*p)~(G#ZHx(1zkrB=AnHI~$fT%T=xs zuKzaHra_<^3KChM4c*VV@V|}xX#cyMfY-8`dPy4^VaE=a^r`nrXDljf3^Hj^gHFlu z?66VA=$10Tm&-x4!J&v*DebKz>{h3O-Ewc^?A*1L*O9ngUd$D=jNmjc9HC+m)%5++ z$ySLi1O5+`n(NhGfUYP*p0{-zov({x}R6ax?vGq zt;`;l?$j+3>UVG!*Qj6%AT|(b8|ikd!=b&wJ&aiX97K~PI}@FUF-sXxGEd0~1CKJ& zC;C1Q+Rv(+|2?UsD`rPUIJ9Lkr@1@;*=Sl)inf3F^!* zt=G9sfR$MSQ~OC9N)j^xX=O@Ool>7xm|V`@qQ+4a$H|B?i?XiN(5Nw|63Cw^kV17~d3VAU6g6R=QX{F#%?S zl(UAG_ElI4bEHl0Yw~jbhjR{VNA(&m`3vJt*^283eAm2MO-3zwqtdalqx9_l7zM} zBRg*PvP%3xl*!`;&8~PtY<{|n{vT*((~8JTj>F#Ox+Ck_xKT%2gr;t{DH$fyi6qTw zwb~w=W#A`F*n+STX|?rZlshw}3>`>8Yu|@&fB#A7-GPl#L~~nij${eUCtBh`yDJI| zW#R>Nf=L|-J0(;|fjw1~g^=;EWLNT0Bg1PX#yxP5HqoRDkDH{}5l2<~lAqXBQ{3Qz zcF?4Hs_NE+?(~A;x@t}Zpg+J17A2lA`ph>K%odI5uDfnil-0GcmL8h_o(zhOw|HdI z4s7W%oFPk_k72^n;VZa3S87mV9w|&|M?cRW$77*OFcKYXncVBd<7Y!~kbgItZ@jEh zEt^#d+4+g>l#6WmPc7?@%rU%eWeMuIovCZiN3XTp_x(rYudB$ z=B(+{x^mqV!rYh=k}50;Gz3>Ug%$0Lj57u5koInO=X%AZ#wfZ^zUcaPDQCH6;u!uG zPV$<`pF(@fW7q;^DGH`=L}T)0URv%z9cmD6<(dHfQb`A?zwKQ<)jLk{Z$SaVsCleg zfb4+_rio4cHyuMJ4Q5)(i1VYp`iVvDYGOd-pXGVLqmCKmjm%e7au42sI|h_-VV4w3 zxg9T&W+r;&f_;mIa9|{L1SC$*aNz*`I$B1XjI4G@579yaem8EwoHyzfUt0I<@l#kp zUDVRr9ieeby+F8}t;A$5I>dvc*?=1<;JWu+D3l>aS4?`%vtAuB)+{Jko*@@qb+YAb2vW>cJI3`X zhB07P%CRr4+eT2C&&LFw6KFA_a-Y{b5#z}+i?$!`VB z0e`}HjR22SjtIm@*9X}~LFE*&5~+x9&Lh+-@Ui#qwet#n=Wj?oRiZ@HUNU2cMK9L! znNlDd`OFJ{=Rv;K1P?9E*OJZ?sCPs_KubGn@mU42B2dhKPH5^EW{eXGJvEWlyG2#~q zSkAE;f`Mz31MVaBqL4bluPds&&x2LN{kXbrT@T_ff?uCkRJ+X8PWlRczPU`J!?Sl) ze>u=S^h;J<4H$0`QGasILK@Qyc|{A^o1T#NKJV-3?;S|M&Ap?_-F=qeL0}$KF%4hJ zy4ffF;atmdm=Z|+p%1XYJ03+YiK%ZVf9Enav0VSmsq_2y7wMY&n_s(5lx0|CP;Yme zAlvW%`4@DnEA11WJbLshcLse_?41(7>L(H90%0@UzY&}3PjInX;0-{2P;KmT&?+u` za4YU`UrDbtoM~P1{e6rJDc@i1;EWS9KwUE~%F2f2iw;??!6WJO8#@+LF3>Vk*}FRD zfUV*hZv4(KXuYo}dJ`XI{x-_w_4fMp&3*mfG@+A$J%4*R5D*4N5D>KgO%swZls9&< z`43DeMO)hej~ms0w(blXC;hG&Vn@;dQ=1o-GHcM$*7lxS_gbv81%2$^tf|+(Doeg` zjhvz`P}uvzRJ{R71EV6TiZiNpyL6M`~KH~Hy_zP1hQe&u6eZGIfvcYiJWU-k6gd|h~7O`pEh^}sx- zQ@{4Mim^L%Zp*jY?C@RTH7MCV^ymhyI86WQ+-_MHsDAmdU*@Q@C(P<(uw3Wt<+oe; zIN9HSSq%K;DBo&r@BbtCBXgH==HI`&C&8@#U%i}kLRn{xBb`@|!{gzKL;{aK-oKm# zY{PgW>KF|S4fG9r?03H2-mJ+#&)h%C^jG=l9XvKiPy9dIph4>d^z8FEs$_4U&*2vy zzZf}pZ#qv-y}!EGpRlj4+&hD%1fS_FnsK2$?B6etJIsK$+cX*E;rp5|E|^a8}6N1ysd57eu010_~-Nh80^G(O|PR{ z*B>~pB##}aB6S=j zkGxXsfBHhT{>Zm}t-ED4+DoV$7rBv(#}A`Hco_$g=WVt~ zWHxn`=`$;3Cd1I9n$c+?2A@wdQ#65MKVsXM{W4kdQYXh8$jqTk zMu)_y9er60W@>-gfXx1j4VePl&sN!*oIF@}Q6zI`DGCn$7 z#*<1;i8xL|gI7}`fOhe1Pwxn$!avxQ!U#UtCFoL=pn5Lr-=ESG44i7Iur&oF2GVKp zYXFT(S0VW%dSELu2XZQQ)yTxqhy^8G6noA5mNH17r{(EOPeQsOrB?A%N600P0KQk}BqsWr zk-WLSn(R2asRXhx-lG-wYIgne6xd-fvJZK?yI4G^=KsSaW>`Mw4)e9z-r!8VaSBmt z{S8Y6rPBP|h8z)LI*m>gjxUYwd_s?00^BPno@6J29f&s&%Wz3YZz`iUsTfHIv8o7l zk>6105B&31UT7-^1uxMdQHCK|IeCU(9e?b1|_5K(Y~xnJ!s7kh9gY@W^BD zZvlr83$+{{V2BQAw~*UoZ8WZyX0ZxH7h#z%aaMFABU;G%VbMXpBi_@apl z0es@^lXTL_jKj$?B@8|WM|x+`=u>z(Tw{N>DjM{noVeFe7n9}<(o?32 zB1hH`O+eIVkATT$c(6TQqk4?an2njTdN9m|3@e95R$%m&1HVypMT=`mWL5y>@|Jie zaH_e?s0N~>$W+Y(NyKk&Y>Z9ix@u$e)LxCb+HzqNH>goKEZ_a3NAR?X{DD~Tztf5j z8k|T{R&Wi)92?}~i~BGY@*Sz>w2UQ`mtuhXFd{wZfZnRT3Xcs8voREY9pOag%z_YS zJfm0@LNd&M+)60dMtta1#$D57h3R*+ktnbudEA_EKh^8fd0XEWXxG-FQHebYs|55^ zdbd!wmi{tQ_ECo?Y59a9vXF*6Q%JC(!$a)J=>4w5y|g}aQo~8M0jQgrf!_JRxeRqS zBw1b1bpXnhoZK@DLkmJ4sBP(MW!@H{X#l+RdT|O=^#yHqq#-CNX?JI!9I&vV2BD^S zrirBfv-@iXn+++t$9VMsX;&0hyL%Mrcid2W#xZ5`lrWU8V3EgbY(K_rSeC6lcCb9= z9B{8TPmPZyVwQ;1Bbl_lo^w_m;V`Ns)Iv*33D^TptjImhO!*QSiU$S}OXpf+a+FaN z`e_?v@iV=Sn;VNd5e*{yEZYUxoF?`g)DN3 z>hU__1~;}72R84kIlnhqd$#0yutY^X3m&`T9P%uV^8K^OL}IxpIc5CKSFV%4j zj{!B%+X_6~^QT7{m_@%039x|UYk4bc_!GJf`|w#_3? zXq>IH3z_UFvID{i>$hP`4cQcng6v`#y-axguTo)7|(8 zN07eN6mnrOPC;bFo}MNdq>1HlgLeq`rR;uJTMv+7MR8j@|=eGYa@pGEY{*6c# zymxr;(NyRCGpdzg%!lchWET8O&-*ti1DoLAfE@k6;U=*6m$jj2hJ~lb@b3ln;t8@U zzQzf%O1{F0!79G4iNQ*~>IqSl+4gvQh-WIO5paunJqln2sXC$hv9da$_Hlwbq4F_` zI-$YwzB-}C2~J}Dw~%JuV1$FJ87gwLnwMuvxTcp_ilmyCS&F2Fms*OXy4P*{V@9~I z4Z3|Z7oqC6$^TgUuic_bzRHQBD!z^h(+R#e@VZRC+vh*}4K!wT%`0o3t1||kam<74 z76-0btv~sDBG%hH@OJ0kOHaH<(8qPtrf#g0U{SW0k$RzZ1PkK+6wTezI|mTW@$>vU zz&Le5uK$}s(5l1j)hBoA^60OfIjhWdOrAZbJ{)M-c+|oYae`t%)1--o!t)Qf`5Q_w z4ry;m%vhw;Gt;SFdTyU95ezRCnv;Gcik?QrQ|<6D*s80zq>k{_MANfqK(zPc4e5mW z>%olYkxI(=4C~H=;bjlBDD~G(!N7s@ZxnIs-=#l>>TylHAOk1e5CbptgwrcYDrx04 zOEN0itLUWe!L1{eu9R1LZ3!h&t+1s%;)%y=(a0Ovg;D>I!&J!>saq9OGQ_wCk>ey1 zOKVU_B}TvoHLaR|K!c_H`}O~Zr=tkynsMMDAS*EcUwA6=f5THhwN3j2DS+Rs=2CEi zMf%7^)~36G211CXyQol~<#e93@wy6WN5NPmSt-{U9j|Q(1H7Ub_m#I6+PcVhC_Ql|7W?zEHPHkI z|A|^!4trSZPRxRGeMq!nso9AVC{mS@GI$$^u|OwRd}D+IkeY`kJ^{) z&o#3*c0JiAQjC8-#i)e9bxZIhQ@8~Uh+NkI^_Sc47A*2nYI!#)qc-k-#(r8FU+z(5 zxY5H^{~p0siSYWjfGvSnRwBP&{;z1xUp*qfso7J7&9%R3IdkO8GD^$dxUdG{M)v<2 zH@vrd29yy^s^fSsQu5;=jvCn{qTv^+MnVjplX8#lgKgjJDv#|5cL`d0#w}pf@3iZjE&eS+8jXQ57%Kqq#w%WLCFc`Q?c1l$p!WSP8 zKrT3yzMP|g5QML@V8`JQpxCR1FC5@nkfersQVmH$AXC_d4+TkOofiCP5~tE%8HI0k zIzMb8WZoQ4T+7_3&11@(%a8puERS6Z&Bj4#p%pX2Gtdpu0a$dV2(^;!QVwNN|E{ zfFQvo5S-uyg1ZwWxFom?79wI(>G8x6jpT@t^~)uXRVFCVE!>Qia2KF7SC8@ zA;Go!#*s41^K;CA(DTvnLURG;j8(!&(0RjoJ z{;!wS%9^N}xqYzwFTXT!qG){NmviW3HcN?JH;kO2F;wUy5fr`EE^%`6`p;igFI%X=wzfS7Ff*C}FfkeZ4=u$3@U++wxIuH(db6+PYZpX=IGK0Y@xFm}4e$5X7#;f0BqO$vj(0kfW?Na}nZEct zrK$%`hY>}~FZUfLwYOqg6<=r?`PnC_{B7I`P;M=6h}m7L(3_tAvG4Y=(}5aFIpHcJE!uC4>!~)~-5VW@VzXK~t?G8jG6KtMPx~utYzIoe65y=UJcE46OR zY^JHnEcPzg{Z?%4uKQf_;O;!X{9tB3qt-lO_NHUO&&f5dh)^uAbEJqQ;l+oRxKI^` zn(=+wiN#kWQtgc+2Xd44KU>HY5^-m~5`mw-#rqSA5$CxHQw}VdFKgiw6wZ71D-CW26K9`SHEh+^!2AAxsH)z(1Ll#7)FA{&m_Pt}mV(!qSwfa=8 zZr&lVWgOp`NAIF_8j5LbPOi^ZX^Ss9M2K5r9&Ylrrc!iJ5 z9d!Xb8)qhK7w}^?ph&jLeE( zqD*tnaXSq+#^dv^ni`x~DxflLc;ci0JFMZW_;tY!Hdke6L6PjKmXAbF2EMNvZ(8!X z6^65hk@QXoqk)zGJ04j^2Ce! z<)K||21W7{t3Fz|6e)fcD>xSi7Q+5-+huY;LXFK^sxS}|#=CVd_Jm0y6S^p;LXh9i zDR}WjKw9PfFs3}6tJnuw%%@Q*zcE0cihl_ZLj8`s-Zww(-5O0&`;u1p%1pZk&Pr}E z``M+)n{ig1X9-3zwuipyrbbS2BCYB8nfz9a}8|wR33624bXsWZsh>-@Yv7)0!9)VwpId4iBHi=lx$IxO zf9bw$s~u|0AiYWle{*9b9Y^ri$bxX4Hcl}ZwkX*h?0!U>EH;e&l8b2c`I{{Xt|c@{ zllKCa%!$8%G>pP^Ga56_Gu4IcjKON9YvWa6zwTo^7l&u@P&7_%;zUXgkM5%kzc&#O z>oM%tq2tS)@wHoMOgV1ZPFlyLH*83lgwVl&UwXrDBI3zbtdT-j)2I|u zUNS{E|3(f&gJysS8PoD|bVo(sF+2S|BV?FUwpPD{k78IMvdJvqh2&L!ua2dqUXzJB z#C+Eqr8=kMxqP^GTWZALQAs^gO`_0?Vi+tEO#0d!`x{T02(~H|kMy-EwvFk5p77=C zP`;^!pf>JQbRoPUtV>m;6v}6P7*VmS3-sX(n36K)ozd{)KPrl$aTImE? z{Dfy}U!;XlHed<8YB+L2*c)64ufbbU6c4WbgBQFh7r7=N1}Ssz$5ySLM{ z?@9zzc2tC=U!LApn|~_gX~j0OMVszQpfan~pJ~J6iAa$>1?l|UGlS^%T^@Nqt(5wQ zKxq>rrZ)Ivqul0}fc;Pu|78Y4owWSwa?y1yi+E9jkXoy#s%l$88XtRt=rwjP-Y|Zj zkr{X2v;@=)^EDBxa^7!j))8Ci-#$}VD7EDh;gT7{SG2Dm&@tlnUP%%t5i%UB1aWDS z%jDy~(I?-BD)2B!#+50?G7u6%tt!XPu~v8f{qGb&0W-l(Iv511Lit}9P3r%{Xb!PP zPH9}Ep@*kzzQI#G!M9+hbt^?W>7_U{#y3hF%FeIeg5M4}Oy6CT#hfW2qoYTK#%^5O zN?^&kgsBw8Eg7%AJ+DB$<=|cJI??r5#bBbt)L2RLoJo8CH(lr@3W2l@Tmh~pGZa;$ z7u7*4^4f+kS^w^-oExHRjkEjVEIKHvhUGIE4ne{=tvNo{Z$f-&GG&g(slpOXfB3XcMe{iIsb@4NZIUIGB+H6LO0v0^ zUWu89h0j+qMs}%h>)WW-p-IyPn=&?0dE(wZQl$tS&8&C*-9;vkhJO>;bFgFL#kulO?~FcrL|Vj5!*nWA;(X z96b{2M@}j8*rTL^KGhD=MTZSuLO9R+jl^WjRyIqNQ@WiZ>i02nSd{H|@Jyv$MAqG; z?>rQ3D@nRbU3Ky;-iY%YB@|@wa4{*I2{E)wES|mQQdYmsbis$2(csxh-~JH)|1;)z z9+%sXKyR7?z4`S2PUy(Iv$iyO{qcj_Bj)}XE9sObffsssPwZBm(1f*MWE$=l!A#0l zvY(y8`~&}2tU9WIz7u(UXUA|-f%5l*_u4CIFHfzEH}5~8{Z9Nh<*ZunRnAwvccM=)hF&!z3NLqqVJcN*K9j?%%(L~ zD9ep~gz}8Y_}5w&7Pqw1yy8jKK)xkPZ;@qchl$G5u#!SemZcBD8J^~|Ek1P{lOd-E z5huxi1uOdYnr80jJ4oOA&oN!liG|n%P*C@L`k+jHvMjOvESOzu28r(oHLrc#Yn4+NJl^f4TCoYiWy;TepU$bP;W>`C{!Igk&QM6g$mBV|5)&g4PYY_FFT0q3XW9RG zzCE^D;}o4!_FqYq64rNVLs=TQC$98RFs=8q2hY2#HeN(@p8x;KywS#&FF6Z-cWmd;AOkWC5&j?N%Gukdq+jj3)$wjz z864&@MN&;gzWlwtj@{dQU*112{E1QU)2el4OuxC-*S+MC`{l}j^WIJX{l~2MUhUI# z+^AXA)xI9koyje}|yc?krzekY#3)>94xahpFUSWGUA6)UZe>iz= z;(v3DWu5*I_))y-cy{zIKPJHI+k^R@3Hj;$nu-4@^5Oat;q-9rVRCz(CFXzC`LN+( z5`gdb>p1cp{RhKCFy8Ci?mM50WAx*f#~i-~obT>GJBi(AJzU>MnD|{y57GqOAzyah z^wKDOemLo0@jndan z-Cu;0`2E3WT=DA>-~$(Tvn#&HjYgXLTa$;&FyM0`$Y~Sb&VL4nz&*WAz)KoW$HT-Q zHewzSE4OC>{x%%fpK&|c&U(*&h8-jxKFnYEA2)Vh%GCec>bzCp9S{pRmg~Izw{mwK zaJ%$Y{Pwmo0J(cI=6@ESrF*)@`3ra7@{Qa2d8PmLOL4CU%PZ@ZhuTgLj-~4R;ebm? zfqsvT&bz)Ql(N=e(Ouf17c9&3%cz0@OHAM8ssr~px8hYl95DxBO{AbFJT#l@z>Zk= zGVUCjo`73cd06H#<2r`}3W7i^92QErp}CM6))d|QXJtEZ@8t}6(Uo?JpEPcC{H6}h zUd*Izk!(vFhZv~AI`|q*HTc@xp2R%TjyNFdT`(TnQd&h))iF8(^eViF zwYT#c6i*jOH}!3T!SyaTt4O7~3Gg`tA$YN7I{iz3*Zu{h$IG#tO{)h(2ECFVLF8M} z)xy@~QchDAx|iLG1V$wNgk?GfvN&7vQ_su9mkH|TV+F7x^5DcBolGu?s+6D=j! zG!Y~iH0}mwR&+nal(6WC$p(Gj?v{uYRZJSlx#r5A6V;-@nzk04kV2ZlpV{Q6W*%Rf?b}_w8m-f?BHwYIa#DKBZei;Bm@(crAHg1#`fvtz>iu{Sj-FLgN$S zF8eO{iLVY!qW)c@BIu0c%n)=|5qNAW5vf7UU&v~!qBTWXV$*`F^#SF`5!@1~0(usX z38qyXX9Y78mpl7@eXhCfU~J7ZHaDsEXaQX1`#QKUN>SI~D;keZY>ceZ>;Chw)Rf7Fgf zOWv+l%f;1eP?1hP_MQV*wzpQp#fEsU@ka?HrY$|Bh>^ttNe2fkLL*}2qQ+(>xX8o; zO?+7<2Jv9Zse;rgYo6x4dw;7+#+02&Q!)l!UwR#y26sKe?0;swZi-IISY#^k)l)Y) zTT4lmW`=R@l+guem*A{I-ul;quV-X@&F2p3deskbZA*&b<-r;)i0K%wHX6$I5_V!B zc;m`!gbTbO#7t_+5Tu1-TK9SkwJQqc5EHJ4^^6(BV!HJ)GA`d`NFQy=4LGbD4N0Q$ z1~Q6uusZ-=DD_`Vp(bsoKrTx1CMGlKVpsR ztZg-k-DEX{>!{g|Zcw`o^ZCXovP;CAx0W?gyOqDSt0N@6a!H=>OV{l&A7O^R{;E-` z(>TKPu9X?@P3hhp+M;E=NjVCaw{etjPvL!X)!D7 zw*>{lVzU^uO_}eXVy!waX{36Y!J6f)>eI!~DBX3eWIEkcwkl=X;BBqCzLO1#BH{A7 zGfK(I-0EACo$(}0n&7lk^k6j^rOMw}GdsPeQ*r7>Ba@d7l|IFcuxpqxKjDJJbYHVo z0v;(QMXnDyr-c|>dTnE;UBaC2jk?a$P$h?KOy=DSSvBR^G7$#3eJd3#KVjB(+Nf}R#{7gUw4YML91eJ-YH@B$ z^vMd92Un*Aj4;`ROU>thQGQ0XLZ2>|W4SbRXOE#-rtPj`oxGyJ+pd*l z_CDpKo?-n77*7B>_-D#GZ3Y1}1S z-;uad2OU&-lXR1I9}$7F*bGi7yTjv1SH!hWu8beg`)Z0lzg^=VYV2sGdydT!o9b#Y zmCtnJ4fp)hFOwR-2(GW03S<>KwX#Y8Jrl1f#?2tton?G3<8Z5xzFXp!{5ZgT{KYq) zS`S?HDZz7^e#TV=slVXtEc3v4Q<}LkIIU=62;AeVwY7_>xWR_TDJsY1mzdea`t{Fb zixsm^5P5EOMeNpfNs7TH(*yhi`-HJ!>h5T1;Ce+qO|xhjOE%8_juEu>$*iJmu`d&} z^%ApNGo)eei>2kCB)#bFsvQocO0=IGV`YHHv>80l#*7@hBiP4o@vzW?EaS@0tYm3U z4eU=ZE`JgL#$$?TCym?iX5kCOOeTahvL>u3pd{s;n<3EPbtRtFLDz4SrU`=ombREW zW{a7qD2?I2G3jsk!0|`X-A~`({BQmfyB$!=mGh5;C7Y^qM!Kkvvi$kq&HPf;N>(|J zEthO)s#t%@C(GX6G;)Zmx5uhJ@ngWf)R6yaT(&2qba7boE@JKPn4Wqo&2OaQ&fg%G zoK3mIVW>vTHyP_)3c4(HK9A=QtcK}6c{|JJf*tl+ul<@{p}8_L9we>M6MOM{cxPUd zsGFPX8L|E5Ke!T&K;W-WC!DPK$1pS0SCNxT>T0lFpL|ZDw>JoP*PCYP5! z_*}Z>@bx5sQ|p~5KK)SX`y1v$zM!_$>oXAwvov}mH&JW!A@s-(BT=zSvyoL+G#WB< z4WjNuW;A=;EqJwq+b6TXs;Pqau}hyc^oAfC`J<# zPM{*mrq&ew;Uv!sNu4BXZ-U_uH_v}J+ZqFZCSPt^Bdzh@&3yk_C% zn)sQurtD0xF}-Yj=V0l+-=!B$;Kq(IZtk-uCYQXP03;6ct*0@&0jfCNBAYfC=WKc?b03}vzy^-c(}gi{hVf2_^N67#~@M#*l&9B zW7hhv@%IO*2F9)16O~W&>%k%XAsAP$B%k1i4DeCS(qWu@kcHV0<&dHxh;j_&QxI>M zx4LE{RULa%SbNA9A(`gZ^&q!2j2lpv4!4=fYe%de3v$lJw)tGx;An+fhy{^tIBhzX z>TBrV^j`9w5Vsq_0ur%9Dz@9*v#1pQUSS;^M=xGke8=aZb?I=O5PsdtZ&eP3Tj=rQ z`g^YqNGjI!uWI>AQICE};+=!1>?Cy|^*VSBH z1nWuFX3;dnm1^)RqkA*tgiP;0p^m0sZ#3dv`CL49bz9$Fw`%UgZADjfoZMPx6*nkg zkoA1m9qnq;b|+%!d|77R?p6Hkh0*AK-TmC*lno7^@{5~vq;h(mRrM48S*pyhZpCkO zhi_}+3}ZZH^P6`FEQCIc^-=#QRX{Q(&dHad7j7x=NE$11bkAk}n$9!a^`ew%VM;5_ z{F`_GqM_e>?gTNVIGU59ZLc2I>gqw#x zt@3<|#(qoq+O1|J!E&N@&>2bm*OJ{zal_A@;9y}*0>6ZAmO3x8s^GTVGAkc8|MbAl zPe~s?O!Ub}-cR)4GjF3e4y^(tC;lzEcqQ#cz(By6D}crP?@ELV*jwLM}DWECC?7o9$p?At6RlPA^TPz<-;e_8LGHmw;VPz%vRipL1)4@N>PSwy>`MBRJ-jvXzv1 zZu>)QZg+cC4Y!#V7G1nDn*=OSfy?4Ys#PiDkA^BkN6%#|h z2PpzZ0h(cWnB_)AdQ;R~T2cy&Y`WF0ea1h5eAtsQ>O{A1JownSOD4nBgB@Gbfhz4xV)(s7+*CTNBNByyx);4d~-uBS$4=d z>Y+89_45L22T|_z9@4!n_u@73w%B|B_itPP^sGp*YHv*IKo@^3zCB-im-s`dZg@Bs z*HWwPohDaD$5EO^(ID!QCZ1>Qd54h=M60s>=u}i4Y%EjZRGErdK~P#t185}wv|6uA zP$+JJ`-uNxE{kninbbHklYWrV+iv`Ptc0GY2VnK~maNbHk%S}l(`-;9HMEirW`lw< zb?BDxI0|;D<7@?esZitM;Ipo6uNuyxMvavGEm(_(l1hmFy9(jdx-aN~Vw(d^o}$@r zIBU1CWfP_*HQugS7AGb#OLEaq8128N&JQluTxTS}k5V)uN5&8urSpCP9VDP-{fzOh zVhVZrq@vlJIGb%}t8kCd8OeB7OgnBJ%=2mt(V)|+;5CW{9k7q}yJ3*9?<8LtwuhTe z5o8(pl2=Yns4D?`choBL-L^O+bo#uTw|P~OCG*9!TxBO0 zryR8`5aB}%BGWSw*@y3v-=1u?7{d>i&J0I2gI~RP>jT|>rYk;VLH%cGF%MWtyB@he zz5Cnq&UWCD88H-`1=S>^cx=Fqif_ZKXM)d{8<7HKYxq(WMWrf;S)SP>y&W%&wleUk zWfG=NPq$dtNaFfzn}Jh*+Ex;D+rqe@RToR|Te#v?mP>#3pyRie9T^7Vgzi*3G&E6h*LLIc_ibfe zNw>7)Zd~~`%Q(h=Q!&v*33fwSJDS@whQ583&t{8rAd#I4{JE=`1>kILx+z=RP}1o_<9l66vRX!T zR8lqbg?$3zgb%IB|M7zLhWAAz7lrev)(l@%O1EqsgG}=Af3O62xS|8Bi8lD(#nIHSuhJ zv3Jmq?`lOfx)ZyW2MxE108ZZ?Jtua@>^7S|W|4tbqIKRp;PIuo{dN0kVUX$Oe4l#3 z@OOJ-=MjU%-H{g^#Ovpv=bSze6eBf(r2UyW@8GzMEq*8|ra@95@f4f?W^^Jj3YOX7 z?Y>LBoZ58Tyw=JYGu<^uu1X19+iL2YO*jWNmG^bI2V}mdju`!xOB`L>&34H@HoTJ> zqDFtaL0_xU;AyH#iH2Gt6|>nCH$@;?CAuxi0x)b7#)rjF*wPSR~SxdRI1KAMBVgWaQQcAiI6sVn|oO&5>LmqFchQ3 zG<8EfBQMQG?1A_>^^!X2^gCd);??^sr&13G&X38G7mj*@gPQ~fB+C<1k-t4{5l1!t*u(SQ-~nD9!Yo|jB!aaWW;!k+fyMoJrF;;yQ<^@|Y??~rhEg4= zZ2Szr`)Jzo@cdu*de6y}1pIHQuQ<*7l4ONw%!_5lX{o6Vo=>Nyo==+HSv>U6lFK8~ zBE93M+{~uh*?d)$U8dJihK;sa5~s&b3xBx}^0{h1 zn)~E%Xd;H`lq5{td|V-K`S9CnwDn$MN36Jr=IZ#$o5*E;iGA$EK{%4bA6zNSv`gD}ruq9Ev*o4TBQnIb2U1Ftc=~D)y$+1zhfTsw1AG6o#Xw~Os|JIR+ z+oisSS+_pUtoRQjml-$A*A`_n`&HO>U*k{8T3rWZ(& zM#C*hzg(|49o|vlSlQT$e_O0W0+QYwXQveF5J~0$0Q&xyL<#)TVA_L(PbgqN!lTW( zFM;j_(`XC$A&B>!RG&5Y;#C8xG7h)Lel&RP^rfD!rU`~>(_HUr*u<+o;r5AQ4I+1I z)X9;i%78ksHQOU2HWet(rWQx?deGy~yqCs~iOe6bRh`Gl;*|;8q|u8M45srIrawar zaPBiTCT}EI#fpiE$|`@l`jD=|5iq+;I1(Sr^j}ouRk29;JoS0boWef;E0SPf0BHr z&-kl6;e~#^uBsDNEMN*9jr1c%n8gRk0AIo5kw`dOEySG9+>tlO5JbZ)C4>%;LRX3b zP5cVF|6n8iue6P*e^bMoM?&m40hnaChL~1d1^W6w*vNAuPEkHavqBWzR4X6O6X8l zJ{2Vm)A!@5j;A5^r~hFV)SEQ_AG4sIU9T;yav}=hFIO*Wq7HF*WIlHd;%_y9NPR#mc`vi z+5&I;GLubpnplMCZdf8y)$=1(^E=quG_Wx>Eyr}6xStAHWhUCIku0Dg`A6&fHL8e? zB(_U>YEm}aK`WKk=i;+Pq;N0;ZK%S>KzbsoY%OG6elvjqzl6>duG2M|uTlCNRPdQ& z$g$}W>(1Q+@(+lGR1k(%7uj9v%+i3{stzQ2WbVG_k}O+F0HK?OM`{ABpBVIG!m_~@ zv?nBs0XcJQd1J&;>w%tq3j$Ir1d&E42{hwwXhi$19(QNc)Qrg2cKefgx@1sKVx78T z|4baVU{Ixb%ezW!l(xu!aqT>?apFnFG!InTe(F|~Y^_)tl(Wo>-{?ZjAa9ZrKrsHh zyY)3nmCG3q+lDx}V*5vgs5M|LiG?5Dqfy~TXg0*_VW2s;C<3%?qah$8Breen%7d1h zOI(3PttBQpd|tjeJP;x+AiNME>H3?s@JtO}QDibgT#5}Yif&Izzj3K4@i!=G#|pW^ z-zgj~Pq72gV%~w2EXFu-nARu2TC~^gZ~DGyWr2*if3ighLDc(N#cT;8afVn)V+8AC>Ndn%a&&ZygYNH%J@fh*dv4G81c@n4dt@2S@_#B}#&7e$JAZIGSmp zPo0V?LTL|Y>is(T^-#zqRk^a61LrIyzBXMLf+F3I4m2ea)~_RkpV?vr>$srLicKm4 zIDqXFAzcNjNTeXTtqD6&ffd)DC<&bC#+L)6k4mfpuQ)1hXARcU1Ts~cwqWD_7nRwj ze`ra&Sivpk_N(WaSVydzzfCVHK;PkImT>LvR7ud@m5_M$^)Ib~j&tC9!4M*BE=4YP zGFAV_f^+>Y98yai)wtfR?g|2*yOKG*4oziVTE2 zml^|Z6H-N_U`a&!RS?6=7IrH@Q>X0>SQ5*j%w`hLV3CuQ#BXnaej>=(ax-ad{JL4= z-lz!I;Z7d)AtwH#^^2fGM-8yH$*(dOMI=%r%ss{(EMGm#1|DJ!mqwd&qr?R|n$!*K z44r%rCH!(_m>C?@RVpa@`YG^ZywFVE?MVFAKSqE}Vsi_RNv=ILdXOIK*CCM4mx)nO z`)9I#kQ`pkxv~2h0>;rbe_flPx>tXfiL35z0<`F6Fp*7gcWH_W6v%pVLvYH>0XJ9* z0euG@QWNvKbg`ltaW^XYjFGiP>hVZ)XR|0qO-l{53Zu5UD@tl`}gRFd5c9;-)fZzp9-8J4`0fjTJwh!lPq*M1PT8O;vTy(!o4-ANaiV1oc@ zk9{e7xVYjD0aP~fAsT>jhEqw-q2uKRRaIUXIyW9R$}G6E^)aqG#Om-`3`zwUdauY8 zllXmdDTOnY1q!AdTS@S;bHyTpR0FW*m|%@=TQxE9?doDl_B_$4V1M>x`Qf4~@skY_dLUWqX9+gN7j&%zT(>+*^nBb<=t9_+6 zK?`iK1En`TLZ2~!KSAE?^0SL;4jF#FkD{IrCG+oDVaGN~AVvBK~( z_uoaaFaPQ*e{3CC5TugG^R~HlpF$*obyF&IFuSftL9Wnmje&2>NVO6*=l05e#1>rk z1J#V?kN!6dJLmFWAr5wlV~PwO|C0HrGfy$3{1N;} zh0~i`(H7*DkI(rEQ!R!Bctz2e;i%olprGkB8|3yqWBx+pY^VB(qgVWHnT=5~X8;v( z%f;&M@0EPAPZP8GyChu8oS&Z8E~yb3{8^&#;$x&+shYYjST|}!xq_gnsxp5u+feo_o+O5HXENi#q@WL4I zu;{VGUAaHeC-w8o4q736I;S>I8$xwgbZ~kaB!?Qt<0}H z+*`4N$hK77fK2)5J?@gBQkIQ>^Tn~Uct3^el<|H#oCVSn%|0q;B#+9OeeWE8h%cP` z6~e`%{f0im&{@?7^yU-~L`OWr=pf>WYky^GFylY}&L8=^r z;Sfphs2OE_O>#iko)D0<@f}BhbYZ{|{sTVwMWu8h)wS<1elZ3)XPO)G{`CgAumgge z2f_$H(wPc1nwBWfCXF@$klrPkx5j6KoE>Qfrw;7CTgo<8RivJx*cNy!6sjdTVPN#^ zU+x<-%jiM?q~-wW#E?2o4;9kI`g{Jlcu#Yr6c;ZW|nJ?5#*~F_B7!kcF9=(^apbke91H&yE$?@4N z;M1LgBZWX}VPRB$&yvYZxs-^$;<*_j8_@Cm-4I4HkyR@KBObo^b;}gT#hgJ&EUPVb zR$i0=DIQ@SQBSPx-8X3)$@79x=6AR+y;(@2vos>)C_o4iL z%@}rZzWpc3nj)_4u9)Ogd!tS$j5A>QZqlSqY?^$PahE@>!5+#^1bn!Z%2gFRI1m1Z!_cG4_;%vLx*gAaIavgvUgE&AOA7s9i zz$=N^e#{D3yi$0zY4~cB#ddRuu}T>)GJ|NXrXeAOKNbrJHXgb&>!p>|;-5Wojb^Ii zsy1~?#~b>CY8^7pNXtzoyl&h$Z)6o(2B2GQQ(QS8VGB(1CWq3yE0Z*TAVA@O_snRK z=6$YI);+f}2>Bp|1o79lNFSxq5;@@mlw$sAj0;t_6PCj4NxBoVyB?7Q&GCecoXqAn zC%4x!l)W!i$>YmV<>=EktF3YX^zLe{IR@K9TFo_!(kiv7OGz6JpcyEIP1Aq_P@^Eu zV~9i>(8Q~GVs0H#GCsqh&}VSu*i7LW9X#%eU{ikn{dgou$p+0#IW52{VAEazHsV(F zP3#CLVcl+J)0E9u6lSMCW1asGh@{hutcX`Rq<1)-^@6c?|3X$le8ZzfVlx>Yc1lt{ zOg3`(j)ht$*$e+dEVkTkPN^D3^tG^l`W|$8Pf^+B28$TMrl=%~zPt*z`+tuu4T^5L zUvQ*867dJAM&$z24@dUn^doD61x!Et{oF<`w(+GbY!Ok8(or~4b_`hl27Fw}ZxAnj zF(P|Ukw{}lYg_E8*!eHrExZHh{FCSfW2AgyR#{5gSUaQqrTo8v{F^6Xh=cIJ7okzc zf^s=d?quv9e~9wfq<-8+?DESf9AL@W99Q;<**QT8%s~M=;kD$PWj20D7@9pHR0=NA z1t$ulq~*Mr*@Dj#!k0;^X8F*nHt0|x3tc&3)^H&cfw!!N=kN7Fn(G?yjo0ucvLpd) z+O`Aih%wM6lQtgwr<^u7=mH=<*{h$9O+h|utLLw@bXji*yRe1BW->vc^sF$|reG~> z=KSm}Y}LSJ07Yl4mFzcoZnn4~(%<2?QpK(&(2$*22>2Hj5SrFK*Nx-tvU!dQj&!ez z1j`7YrGr^^P?(nhDkXb!8qV)hhYOz&`}8!AYS0(T&jM353X z>-@%Rc}IvKOU{a(0KLnGCIB<YboIzhO{h2Tpz_Zx?g70I4bk zz`+EAvX)}jy>w7F=+bmbP8GsW2|1a5 z|1xmw>{Iho8oo1m>3*)+%z;H}|H>xzD0GNAB{kpjWx9(1&RRF9-40P* zE`Wn;MW#B2E1ste$ApOE@Jf_oYoeiTv-zt21X@OYilj1E4Cmj^0!^CAZ&0Q&sfM@G zia~FyFd92hh7J_yuuN}*g~m$2HP_HM!W%3()0;Pg`e{S@iK-5h?mS$pXK(y zRuxQHcu~3%)Qr3q#S8KM6LY-Dz5Ge;uG-iqd{@X7$j6zZI}nDL6Zz4?rlrsRlMT=4 zqjDRiIwj(FTc-p{>;KXkEe2FJNUKx=8@s`8e82IguY z^#vrdfB;Yr=~Om9G@uFl;NHlN0f6FEWTjVS%xjgN)P@}*xd5gd!>aP0NT9`3_v`=X zB)ajxC*y`e0L*Y77kE6N2RT#1D^bm!L>1H8BdCRyG`}^t;}6p9`^f-}x6Izy57?+X z`2uf{?m3;Z<7tdw4-dwu+jlZC=JnseHAxc^3l2Y^)qXFs@Aj(|C=4~UYLU1A7osK( zPYgao=Cf3|a8!9cWa||kZ(HOw69%ANdEDnm+xC3j13G#e)Jk{cf{`xrX)63K1Tzv# zz(N9>JBj4SmYkXJj!6CQ$trUm@PI)d699Dozr(A>e*XW2S8_xY#~0u>yYEs_VmNwC zWNcaL9N#Oiu3hA9ttTX&=q>!8=vw_4U0wbgUA3sf(nI)2`qF6MAwEWB>s)VQj2A9R7rU53`FAyNt{GYCCKn;H> zIfM@0NuzCgpo^w$%6ewPdHgg^gj(-V`OOJQT4>cKsncIlC-96gKv7VO(1XU)WyqN{ z2?69cGz%a&-N$+kGKPnQyo_Dx_|Rh}3WP}4Oe3VH0EPnsR%E0@Xn+*Gg=1bN3GfEp zbCFt&({v{vGBMF+ycx>V!PoaMo>JOXiq9D1?s`fxlgRgmxPk%KT_p!x*KTJ=AwAy^ zw$XDMlJr)CBgEA#N?-$JpgYR*H&>45YsBM}1r$$yR8MP9F@Vs$5g&8Bn#-#nJAFx< z7p%$=)hXM2M73WxA2p`K5pvEm2P}-SMih9c^6E4`!cX@qDuC4ht-)82wUSlqFe&_W zb0Ad0kP~{b{l=!#!KL#qv#czO)$QchhLo|gxWW7K&|CcPTW=o{6RrWSDv>!V&&S0H zlk(@4>-3WoR`r197EcVC97C?EO+qOD zrr19Cxg_G(lQCSVB+Z+cT-@>5T^aqVN)qUnH$XS5UjuZLTk(-@M*Fe@XbEupba6c4 zAm@8v_0ML{Kd;;$t(C-PH0jqCJZ1e!SYf7j4Tkv8)Qz5;N#0e3ri{tjZ3X#wm9e(x zbck&s8p5A6zN61!SJ$WfpHYv>fT#jqkD)CS5`D`zxD~;aajq9%wPKpV47=H{OXj}B zc5OC%l)aULvb%&CTv53+Y)gtC&Y{Jdj(e-E)HoA=4#w49D0j zr$=rSR8YP%`yB1R!44Gjqb5R$&BbMBG5r;#0OWy@i?38V%*PvPX5AWv zqS1phb&=0BjG0N#>M+NsI^F?dv&%=4xR(L#wy2y%QG4jK(G-&Y|*Zu5QA43h=TZ5o-xi z@&3fYCUO;#X(QZfVRTGj4YVR4IT1zZ{)L%_zCPrkMUDCcq*~Pu5`v3JAsfK|NEs`p zj`sPO!0HlpytJip^V&GQt#dK|2(r%IQlRoKC1N(y_O~`#=%N+&ZEKS;cH%N}kNLze zJKRd@TwVMS{r>DqrQfI1I6Hu}3HKoTvw;50#j{b&5b?$6+>{h_N=cmePWOly62*qq zVGb-zH=hlvWNO;;U#PiQ+|y=6Bh|^)z1QqGk57WCnju`r!;iN?c}orE-{woeRgnwY zF9>xez*Xu9?HA8@c>`P^bu%pZG0=C4&wu z>?Tvwod!#<&g&FMH*XJu1UG>%%g3V}?9XFC=BiC8P@E~OZm43xvOl&i*4}AViU}>7 zi3Cw&q};Jsx;!iiUx#P)P)#gfhe9&Vjl}u zXcio;1X*WbiU!MZH7LwEGvI*OzFj-a-Z3w!cG+{4MRHN}UC*D=E|5lIvG&@m_2~Z| zgb?R#>2O{PQqPJ}yolC`^J3%$v(Q$M#%dKZH>=ckPtQb?T@kk1Y)AjlQPj`684b;cj+M z#!0W4|JlG&ab$}I@yDtHE8r)XXr%i#fXf)|2|*&yTl)0IxCydtoQ7iM-S;%X+d3(~YIg4J?b~fsFv|4=j=A78DMwuDQ`T z&MyNU9wmF*7ATR$V=@4)76fd35CFiq4OG05My~Xe5;lN$b;Fm6=XM><;WzrK>)y`- zL_g`0bR+D$fXv8;+1KIoQov4(tK)lY`8y!9O^YtqMOL;C9oO01F14Ug1=^_2f?~^` zvW$F9O(f|85&_w}VFuGy{C)yJ-f(yO*P!ro^ZpKc3yH|yQ9G0x%=0Y(fC76Gz-2v? zPkgM=xP;?_z|t6c{UG|U-lL$^$TmRd8=J-rMYTYfA6{c0=3^75X#i zvLh8dQKHy1qwti&o);6UQiK)O6UMF{NqeHy>>N!A{pGFsigpxSLocN$r*_KTqBKR0w(9rEr{{d+zi8!J z*1cqt$GiV-VHJTt)6%zDe%`~y(eZrqw-3)>|1F>K($>y!sa#r^65nQysV<3OO9Kz9 zC@vC73zq7RSg}>GuC-tAuzQT0X3gbW|0=cpm!E!I5PSdh$-=O8lIKoE9BAGc`hrKS^zx=XsL7cODTa^G`|0c#JUL&7$OVv{+l6t!{u1uX; zE7>W;qxtTd;!L&hLOpKT)wkY!6WO4&{I=~|$NRpri)Q#n&s%n3k6iU9A@#zCIljp& zrmKOoA$9X zbM|q!N#Yv}xvx#mtY3HVu6o((-9J|5n=ARx-hbkIdSjtgwC(@J2^O#GEX}wo^xakT zH;K32`H^tvWMT219JQPB2iBdA_+>gfq-Zt|eW+<>*K&~?Tu z$(b`|yS|$BB65c0Y=s}o9FC@?#VE4H>^sI5!w}%j$Rxrn0yLO|VdjZpzLQxHv@fhEy9fOg-B*#Y_&x<2fgq^nDhTS ok~z@)fUX-o10i((yosb6mYo8;S=m5Jcz{q4c<5Q$EfydT0QA8r#{d8T literal 0 HcmV?d00001 diff --git a/production/cheapsdo_2023-12-16_22-36-49/designators.csv b/production/cheapsdo_2023-12-16_22-36-49/designators.csv new file mode 100644 index 0000000..e2657e9 --- /dev/null +++ b/production/cheapsdo_2023-12-16_22-36-49/designators.csv @@ -0,0 +1,83 @@ +C1:1 +C10:1 +C11:1 +C12:1 +C13:1 +C14:1 +C2:1 +C3:1 +C4:1 +C5:1 +C6:1 +C7:1 +C8:1 +C9:1 +D1:1 +D2:1 +D3:1 +D4:1 +D5:1 +D6:1 +G***:1 +J1:1 +J10:1 +J11:1 +J2:1 +J3:1 +J4:1 +J5:1 +J6:1 +J7:1 +J8:1 +J9:1 +R1:1 +R10:1 +R11:1 +R12:1 +R13:1 +R14:1 +R15:1 +R16:1 +R17:1 +R18:1 +R19:1 +R2:1 +R20:1 +R21:1 +R22:1 +R23:1 +R3:1 +R5:1 +R7:1 +R8:1 +R9:1 +U1:1 +U2:1 +U3:1 +U4:1 +U5:1 +U6:1 +U7:1 +U8:1 +U9:1 +Y1:1 +kibuzzard-657B3F6D:1 +kibuzzard-657B3F8B:1 +kibuzzard-657B3FFC:1 +kibuzzard-657B4005:1 +kibuzzard-657B400E:1 +kibuzzard-657B40D9:1 +kibuzzard-657B410A:1 +kibuzzard-657B4116:1 +kibuzzard-657B4121:1 +kibuzzard-657B412F:1 +kibuzzard-657E125C:1 +kibuzzard-657E1270:1 +kibuzzard-657E127B:1 +kibuzzard-657E1288:1 +kibuzzard-657E12E4:1 +kibuzzard-657E12EE:1 +kibuzzard-657E12F7:1 +kibuzzard-657E131D:1 +kibuzzard-657E1327:1 +kibuzzard-657E1331:1 diff --git a/production/cheapsdo_2023-12-16_22-36-49/netlist.ipc b/production/cheapsdo_2023-12-16_22-36-49/netlist.ipc new file mode 100644 index 0000000..4cae899 --- /dev/null +++ b/production/cheapsdo_2023-12-16_22-36-49/netlist.ipc @@ -0,0 +1,364 @@ +P CODE 00 +P UNITS CUST 0 +P arrayDim N +317GND VIA MD0118PA00X+068250Y-041750X0236Y0000R000S3 +317GND VIA MD0118PA00X+068250Y-043250X0236Y0000R000S3 +317GND VIA MD0118PA00X+068750Y-042500X0236Y0000R000S3 +317GND VIA MD0118PA00X+050500Y-042500X0236Y0000R000S3 +317GND VIA MD0118PA00X+050500Y-041500X0236Y0000R000S3 +317GND VIA MD0118PA00X+050500Y-040500X0236Y0000R000S3 +317GND VIA MD0118PA00X+050500Y-039500X0236Y0000R000S3 +317GND VIA MD0118PA00X+050500Y-038500X0236Y0000R000S3 +317GND VIA MD0118PA00X+055750Y-038000X0236Y0000R000S3 +317GND VIA MD0118PA00X+054250Y-038000X0236Y0000R000S3 +317GND VIA MD0118PA00X+055000Y-037500X0236Y0000R000S3 +317GND VIA MD0118PA00X+056750Y-036500X0236Y0000R000S3 +317GND VIA MD0118PA00X+056750Y-037500X0236Y0000R000S3 +317GND VIA MD0118PA00X+056250Y-037000X0236Y0000R000S3 +317+5V VIA MD0118PA00X+055250Y-040250X0236Y0000R000S3 +317GND VIA MD0118PA00X+055500Y-042250X0236Y0000R000S3 +317GND VIA MD0118PA00X+055000Y-042750X0236Y0000R000S3 +317GND VIA MD0118PA00X+054500Y-042250X0236Y0000R000S3 +317+5V VIA MD0157PA00X+059500Y-035250X0315Y0000R000S3 +317+5V VIA MD0157PA00X+080500Y-035500X0315Y0000R000S3 +317GND VIA MD0157PA00X+083500Y-051000X0315Y0000R000S3 +317GND VIA MD0157PA00X+071000Y-053750X0315Y0000R000S3 +317GND VIA MD0157PA00X+062250Y-042750X0315Y0000R000S3 +317GND VIA MD0157PA00X+070500Y-034000X0315Y0000R000S3 +317GND VIA MD0157PA00X+083500Y-052000X0315Y0000R000S3 +317GND VIA MD0157PA00X+083500Y-039000X0315Y0000R000S3 +317GND VIA MD0157PA00X+076250Y-033250X0315Y0000R000S3 +317GND VIA MD0157PA00X+083500Y-037500X0315Y0000R000S3 +317GND VIA MD0157PA00X+080500Y-033500X0315Y0000R000S3 +317GND VIA MD0118PA00X+062000Y-053000X0236Y0000R000S3 +317GND VIA MD0157PA00X+085000Y-052000X0315Y0000R000S3 +317GND VIA MD0157PA00X+085750Y-052000X0315Y0000R000S3 +317GND VIA MD0118PA00X+062500Y-051500X0236Y0000R000S3 +317GND VIA MD0157PA00X+084000Y-038250X0315Y0000R000S3 +317GND VIA MD0118PA00X+064250Y-050000X0236Y0000R000S3 +317GND VIA MD0118PA00X+065250Y-050750X0236Y0000R000S3 +317GND VIA MD0157PA00X+085750Y-051000X0315Y0000R000S3 +317GND VIA MD0157PA00X+077500Y-040750X0315Y0000R000S3 +317GND VIA MD0157PA00X+067372Y-049000X0315Y0000R000S3 +317GND VIA MD0157PA00X+085000Y-051000X0315Y0000R000S3 +317GND VIA MD0157PA00X+077500Y-033250X0315Y0000R000S3 +317GND VIA MD0118PA00X+062500Y-051500X0236Y0000R000S3 +317GND VIA MD0157PA00X+071000Y-051250X0315Y0000R000S3 +317GND VIA MD0157PA00X+076750Y-052000X0315Y0000R000S3 +317GND VIA MD0157PA00X+076750Y-051500X0315Y0000R000S3 +317GND VIA MD0157PA00X+076750Y-047750X0315Y0000R000S3 +317GND VIA MD0157PA00X+070000Y-052500X0315Y0000R000S3 +317GND VIA MD0157PA00X+060000Y-045000X0315Y0000R000S3 +317GND VIA MD0157PA00X+074250Y-047500X0315Y0000R000S3 +317GND VIA MD0157PA00X+078500Y-051500X0315Y0000R000S3 +317GND VIA MD0157PA00X+077500Y-035750X0315Y0000R000S3 +317GND VIA MD0157PA00X+054500Y-049374X0315Y0000R000S3 +317GND VIA MD0157PA00X+072750Y-047500X0315Y0000R000S3 +317GND VIA MD0157PA00X+081250Y-034250X0315Y0000R000S3 +317GND VIA MD0157PA00X+064000Y-048500X0315Y0000R000S3 +317GND VIA MD0157PA00X+061500Y-043250X0315Y0000R000S3 +317GND VIA MD0157PA00X+079750Y-034250X0315Y0000R000S3 +317GND VIA MD0157PA00X+077500Y-038250X0315Y0000R000S3 +317GND VIA MD0157PA00X+078500Y-047750X0315Y0000R000S3 +317GND VIA MD0157PA00X+078500Y-052000X0315Y0000R000S3 +317GND VIA MD0157PA00X+058500Y-050750X0315Y0000R000S3 +317GND VIA MD0157PA00X+076250Y-035750X0315Y0000R000S3 +317GND VIA MD0157PA00X+076250Y-038250X0315Y0000R000S3 +317GND VIA MD0157PA00X+061000Y-045000X0315Y0000R000S3 +317GND VIA MD0157PA00X+076250Y-040750X0315Y0000R000S3 +317GND VIA MD0118PA00X+062500Y-051500X0236Y0000R000S3 +317GND VIA MD0157PA00X+071000Y-033500X0315Y0000R000S3 +317GND VIA MD0157PA00X+060500Y-046750X0315Y0000R000S3 +317+3.3V VIA MD0157PA00X+058500Y-039000X0315Y0000R000S3 +317+3.3V VIA MD0157PA00X+073000Y-035250X0315Y0000R000S3 +317+3.3V VIA MD0157PA00X+073750Y-038000X0315Y0000R000S3 +317+3.3V VIA MD0157PA00X+075000Y-047500X0315Y0000R000S3 +317+3.3V VIA MD0157PA00X+059250Y-046250X0315Y0000R000S3 +317+3.3V VIA MD0118PA00X+056000Y-053750X0236Y0000R000S3 +317NRST VIA MD0157PA00X+064750Y-048750X0315Y0000R000S3 +317NRST VIA MD0157PA00X+061250Y-052000X0315Y0000R000S3 +317SWDIO VIA MD0157PA00X+058000Y-051750X0315Y0000R000S3 +317GPS-TXD VIA MD0157PA00X+063500Y-046000X0315Y0000R000S3 +317GPS-RXD VIA MD0157PA00X+062500Y-046500X0315Y0000R000S3 +317USB-D- VIA MD0157PA00X+058500Y-049500X0315Y0000R000S3 +317USB-D- VIA MD0157PA00X+058000Y-049000X0315Y0000R000S3 +317STATUS_LED VIA MD0157PA00X+054000Y-041000X0315Y0000R000S3 +317STATUS_LED VIA MD0157PA00X+063715Y-050000X0315Y0000R000S3 +31710MHZ VIA MD0157PA00X+064750Y-048081X0315Y0000R000S3 +31710MHZ VIA MD0157PA00X+070500Y-049500X0315Y0000R000S3 +317PPS VIA MD0157PA00X+064259Y-047785X0315Y0000R000S3 +317PPS VIA MD0157PA00X+083000Y-045250X0315Y0000R000S3 +317FEC-PWM VIA MD0157PA00X+064500Y-046785X0315Y0000R000S3 +317FEC-PWM VIA MD0157PA00X+061152Y-049348X0315Y0000R000S3 +317SCL VIA MD0157PA00X+061512Y-049505X0315Y0000R000S3 +317SCL VIA MD0157PA00X+071465Y-047000X0315Y0000R000S3 +317SDA VIA MD0157PA00X+071750Y-047500X0315Y0000R000S3 +317SDA VIA MD0157PA00X+062000Y-049500X0315Y0000R000S3 +327+5V D6 -1 A01X+058234Y-035250X0394Y0394R180S2 +327NET-(D6-A) D6 -2 A01X+057250Y-035250X0394Y0394R180S2 +327NET-(U3B-+) C10 -1 A01X+067415Y-042531X0463Y0571R000S2 +327GND C10 -2 A01X+068232Y-042531X0463Y0571R000S2 +327NET-(U2-PD0) C4 -1 A01X+067872Y-051000X0423Y0374R000S2 +327GND C4 -2 A01X+068551Y-051000X0423Y0374R000S2 +327NET-(U3A--) R11 -1 A01X+062234Y-044000X0384Y0374R270S2 +327GND R11 -2 A01X+062234Y-043281X0384Y0374R270S2 +317NET-(J10-IN) J10 -1 D0591PA00X+087500Y-051500X0807Y0000R000S0 +317GND J10 -2 D0669PA00X+086500Y-050500X0886Y0000R000S0 +317GND J10 -2 D0669PA00X+086500Y-052500X0886Y0000R000S0 +317GND J10 -2 D0669PA00X+088500Y-050500X0886Y0000R000S0 +317GND J10 -2 D0669PA00X+088500Y-052500X0886Y0000R000S0 +327+5V C1 -1 A01X+055000Y-041500X0423Y0374R090S2 +327GND C1 -2 A01X+055000Y-042179X0423Y0374R090S2 +327GND R7 -1 A01X+056781Y-048250X0384Y0374R000S2 +327USB-D- R7 -2 A01X+057500Y-048250X0384Y0374R000S2 +327D-(U6-NC-PAD1) U6 -1 A01X+071874Y-034250X0614Y0256R090S2 +327NET-(U5-OUT) U6 -2 A01X+071500Y-034250X0614Y0256R090S2 +327GND U6 -3 A01X+071126Y-034250X0614Y0256R090S2 +32710MHZ U6 -4 A01X+071126Y-035313X0614Y0256R090S2 +327+3.3V U6 -5 A01X+071874Y-035313X0614Y0256R090S2 +327NET-(J9-IN) R18 -1 A01X+086400Y-034000X0384Y0374R180S2 +327NET-(R18-PAD2) R18 -2 A01X+085681Y-034000X0384Y0374R180S2 +327NRST C3 -1 A01X+061050Y-052500X0423Y0374R000S2 +327GND C3 -2 A01X+061729Y-052500X0423Y0374R000S2 +327GND C11 -1 A01X+073000Y-034071X0423Y0374R090S2 +327+3.3V C11 -2 A01X+073000Y-034750X0423Y0374R090S2 +327NET-(J4-IN) J4 -1 A01X+076276Y-034500X0394Y0394R090S2 +327GND J4 -2 A01X+076866Y-033919X0413Y0866R090S2 +327GND J4 -2 A01X+077457Y-034500X0394Y0394R090S2 +327GND J4 -2 A01X+076866Y-035081X0413Y0866R090S2 +367N/C J2 D0354UA00X+052476Y-048634X0354Y0000R090S0 +367N/C J2 D0354UA00X+052476Y-050366X0354Y0000R090S0 +327(J2-VBUS-PAD1) J2 -1 A01X+053500Y-048870X0197Y0984R090S2 +327NET-(J2-D-) J2 -2 A01X+053500Y-049185X0197Y0984R090S2 +327NET-(J2-D+) J2 -3 A01X+053500Y-049500X0197Y0984R090S2 +327D-(J2-ID-PAD4) J2 -4 A01X+053500Y-049815X0197Y0984R090S2 +327GND J2 -5 A01X+053500Y-050130X0197Y0984R090S2 +327GND J2 -6 A01X+053500Y-047768X0787Y0984R090S2 +327GND J2 -6 A01X+051335Y-047768X0787Y0984R090S2 +327GND J2 -6 A01X+053500Y-051232X0787Y0984R090S2 +327GND J2 -6 A01X+051335Y-051232X0787Y0984R090S2 +327+3.3V R8 -1 A01X+059500Y-052500X0384Y0374R000S2 +327NRST R8 -2 A01X+060219Y-052500X0384Y0374R000S2 +327GND D1 -1 A01X+050590Y-041000X0413Y0374R000S2 +327NET-(D1-A) D1 -2 A01X+051279Y-041000X0413Y0374R000S2 +327NET-(R12-PAD1) R12 -1 A01X+062984Y-043281X0384Y0374R090S2 +327NET-(U3A--) R12 -2 A01X+062984Y-044000X0384Y0374R090S2 +327NET-(J7-IN) R14 -1 A01X+086200Y-040000X0384Y0374R180S2 +327NET-(R14-PAD2) R14 -2 A01X+085481Y-040000X0384Y0374R180S2 +327NET-(J7-IN) J7 -1 A01X+088243Y-039500X0500Y1417R270S2 +327GND J7 -2 A01X+088164Y-040612X0531Y1654R270S2 +327GND J7 -2 A02X+088164Y-040612X0531Y1654R270S1 +327GND J7 -2 A01X+088164Y-038388X0531Y1654R270S2 +327GND J7 -2 A02X+088164Y-038388X0531Y1654R270S1 +327/BOOT0 R9 -1 A01X+063391Y-052500X0384Y0374R000S2 +327GND R9 -2 A01X+064109Y-052500X0384Y0374R000S2 +327+3.3V C2 -1 A01X+057480Y-037000X0423Y0374R180S2 +327GND C2 -2 A01X+056801Y-037000X0423Y0374R180S2 +327+3.3V R3 -1 A01X+053219Y-039000X0384Y0374R180S2 +327NET-(D3-A) R3 -2 A01X+052500Y-039000X0384Y0374R180S2 +327+5V C12 -1 A01X+080500Y-034929X0423Y0374R270S2 +327GND C12 -2 A01X+080500Y-034250X0423Y0374R270S2 +327NET-(J8-IN) R16 -1 A01X+086500Y-046000X0384Y0374R180S2 +327NET-(R16-PAD2) R16 -2 A01X+085781Y-046000X0384Y0374R180S2 +317GND J1 -1 D0551PA00X+054000Y-033250X0819Y1417R090S0 +317NET-(D6-A) J1 -2 D0551PA00X+054000Y-035250X0819Y1417R090S0 +317GPS-TXD J11 -1 D0394PA00X+073500Y-045500X0669Y0669R000S0 +317GPS-RXD J11 -2 D0394PA00X+073500Y-046500X0669Y0669R000S0 +317GND J11 -3 D0394PA00X+073500Y-047500X0669Y0669R000S0 +327PPS R2 -1 A01X+053219Y-042000X0384Y0374R180S2 +327NET-(D2-A) R2 -2 A01X+052500Y-042000X0384Y0374R180S2 +327NET-(J2-D-) U9 -1 A01X+055104Y-049000X0522Y0236R000S2 +327GND U9 -2 A01X+055104Y-049374X0522Y0236R000S2 +327NET-(J2-D+) U9 -3 A01X+055104Y-049748X0522Y0236R000S2 +327NET-(R22-PAD1) U9 -4 A01X+056000Y-049748X0522Y0236R000S2 +327+3.3V U9 -5 A01X+056000Y-049374X0522Y0236R000S2 +327NET-(R23-PAD2) U9 -6 A01X+056000Y-049000X0522Y0236R000S2 +327NET-(J9-IN) R19 -1 A01X+086359Y-033000X0384Y0374R180S2 +327NET-(R19-PAD2) R19 -2 A01X+085641Y-033000X0384Y0374R180S2 +317+3.3V J3 -1 D0394PA00X+059500Y-053750X0669Y0669R270S0 +317NRST J3 -2 D0394PA00X+060500Y-053750X0669Y0669R270S0 +317SWDIO J3 -3 D0394PA00X+061500Y-053750X0669Y0669R270S0 +317SWCLK J3 -4 D0394PA00X+062500Y-053750X0669Y0669R270S0 +317GND J3 -5 D0394PA00X+063500Y-053750X0669Y0669R270S0 +327NET-(U2-PD0) Y1 -1 A01X+067872Y-049854X1122Y0650R270S2 +327GND Y1 -2 A01X+067872Y-048024X1122Y0650R270S2 +327NET-(U2-PD1) Y1 -3 A01X+066750Y-048024X1122Y0650R270S2 +327GND Y1 -4 A01X+066750Y-049854X1122Y0650R270S2 +327NET-(D5-K) D5 -1 A01X+075000Y-049000X0394Y0394R270S2 +327+3.3V D5 -2 A01X+075000Y-048016X0394Y0394R270S2 +327ET-(U8-V_BCKP) C14 -1 A01X+075000Y-052500X1969Y0787R090S2 +327GND C14 -2 A01X+071004Y-052500X1575Y0669R090S2 +327NET-(U4-XA) C8 -1 A01X+071840Y-037000X0423Y0374R180S2 +32710MHZ C8 -2 A01X+071160Y-037000X0423Y0374R180S2 +327+3.3V C13 -1 A01X+076250Y-048160X0423Y0374R090S2 +327GND C13 -2 A01X+076250Y-048840X0423Y0374R090S2 +327STATUS_LED R1 -1 A01X+053219Y-041000X0384Y0374R180S2 +327NET-(D1-A) R1 -2 A01X+052500Y-041000X0384Y0374R180S2 +32710MHZ U7 -1 A01X+080500Y-038199X0768Y0236R270S2 +327NET-(R16-PAD2) U7 -2 A01X+081000Y-038199X0768Y0236R270S2 +32710MHZ U7 -3 A01X+081500Y-038199X0768Y0236R270S2 +327NET-(R17-PAD2) U7 -4 A01X+082000Y-038199X0768Y0236R270S2 +32710MHZ U7 -5 A01X+082500Y-038199X0768Y0236R270S2 +327NET-(R14-PAD2) U7 -6 A01X+083000Y-038199X0768Y0236R270S2 +327GND U7 -7 A01X+083500Y-038199X0768Y0236R270S2 +327NET-(R15-PAD2) U7 -8 A01X+083500Y-036250X0768Y0236R270S2 +32710MHZ U7 -9 A01X+083000Y-036250X0768Y0236R270S2 +327NET-(R18-PAD2) U7 -10 A01X+082500Y-036250X0768Y0236R270S2 +32710MHZ U7 -11 A01X+082000Y-036250X0768Y0236R270S2 +327NET-(R19-PAD2) U7 -12 A01X+081500Y-036250X0768Y0236R270S2 +32710MHZ U7 -13 A01X+081000Y-036250X0768Y0236R270S2 +327+5V U7 -14 A01X+080500Y-036250X0768Y0236R270S2 +327NET-(U3B-+) R13 -1 A01X+066484Y-042531X0384Y0374R090S2 +327NET-(R12-PAD1) R13 -2 A01X+066484Y-043250X0384Y0374R090S2 +327NET-(R22-PAD1) R22 -1 A01X+056802Y-049750X0384Y0374R000S2 +327USB-D+ R22 -2 A01X+057521Y-049750X0384Y0374R000S2 +327NET-(J8-IN) J8 -1 A01X+088243Y-045500X0500Y1417R270S2 +327GND J8 -2 A01X+088164Y-046612X0531Y1654R270S2 +327GND J8 -2 A02X+088164Y-046612X0531Y1654R270S1 +327GND J8 -2 A01X+088164Y-044388X0531Y1654R270S2 +327GND J8 -2 A02X+088164Y-044388X0531Y1654R270S1 +327GND D3 -1 A01X+050595Y-039000X0413Y0374R000S2 +327NET-(D3-A) D3 -2 A01X+051284Y-039000X0413Y0374R000S2 +327GND U1 -1 A01X+055000Y-038094X0787Y0591R000S2 +327+3.3V U1 -2 A01X+055000Y-039000X0787Y0591R000S2 +327+3.3V U1 -2 A01X+057480Y-039000X0787Y1496R000S2 +327+5V U1 -3 A01X+055000Y-039906X0787Y0591R000S2 +327GND D4 -1 A01X+050595Y-040000X0413Y0374R000S2 +327NET-(D4-A) D4 -2 A01X+051284Y-040000X0413Y0374R000S2 +327+3.3V C6 -1 A01X+060000Y-046250X0423Y0374R270S2 +327GND C6 -2 A01X+060000Y-045571X0423Y0374R270S2 +327NET-(D5-K) R21 -1 A01X+075000Y-049891X0384Y0374R090S2 +327ET-(U8-V_BCKP) R21 -2 A01X+075000Y-050609X0384Y0374R090S2 +327NET-(U2-PD1) C5 -1 A01X+066622Y-046750X0423Y0374R000S2 +327GND C5 -2 A01X+067301Y-046750X0423Y0374R000S2 +327+3.3V U4 -1 A01X+072884Y-036856X0551Y0118R000S2 +327NET-(U4-XA) U4 -2 A01X+072884Y-037053X0551Y0118R000S2 +327D-(U4-XB-PAD3) U4 -3 A01X+072884Y-037250X0551Y0118R000S2 +327SCL U4 -4 A01X+072884Y-037447X0551Y0118R000S2 +327SDA U4 -5 A01X+072884Y-037644X0551Y0118R000S2 +327NET-(J6-IN) U4 -6 A01X+074616Y-037644X0551Y0118R000S2 +327+3.3V U4 -7 A01X+074616Y-037447X0551Y0118R000S2 +327GND U4 -8 A01X+074616Y-037250X0551Y0118R000S2 +327NET-(J5-IN) U4 -9 A01X+074616Y-037053X0551Y0118R000S2 +327NET-(J4-IN) U4 -10 A01X+074616Y-036856X0551Y0118R000S2 +327GND C9 -1 A01X+073071Y-038500X0423Y0374R000S2 +327+3.3V C9 -2 A01X+073750Y-038500X0423Y0374R000S2 +327NET-(R12-PAD1) U3 -1 A01X+063984Y-044506X0768Y0236R270S2 +327NET-(U3A--) U3 -2 A01X+064484Y-044506X0768Y0236R270S2 +327FEC-PWM U3 -3 A01X+064984Y-044506X0768Y0236R270S2 +327GND U3 -4 A01X+065484Y-044506X0768Y0236R270S2 +327NET-(U3B-+) U3 -5 A01X+065484Y-042557X0768Y0236R270S2 +327NET-(U3B--) U3 -6 A01X+064984Y-042557X0768Y0236R270S2 +327NET-(U3B--) U3 -7 A01X+064484Y-042557X0768Y0236R270S2 +327+5V U3 -8 A01X+063984Y-042557X0768Y0236R270S2 +327NET-(J8-IN) R17 -1 A01X+086500Y-045000X0384Y0374R180S2 +327NET-(R17-PAD2) R17 -2 A01X+085781Y-045000X0384Y0374R180S2 +327GND R10 -1 A01X+061000Y-045531X0384Y0374R090S2 +327/BOOT1 R10 -2 A01X+061000Y-046250X0384Y0374R090S2 +327GND D2 -1 A01X+050595Y-042000X0413Y0374R000S2 +327NET-(D2-A) D2 -2 A01X+051284Y-042000X0413Y0374R000S2 +327(U2-VBAT-PAD1) U2 -1 A01X+062889Y-050083X0581Y0118R180S2 +327STATUS_LED U2 -2 A01X+062889Y-049886X0581Y0118R180S2 +327(U2-PC14-PAD3) U2 -3 A01X+062889Y-049689X0581Y0118R180S2 +327(U2-PC15-PAD4) U2 -4 A01X+062889Y-049492X0581Y0118R180S2 +327NET-(U2-PD0) U2 -5 A01X+062889Y-049295X0581Y0118R180S2 +327NET-(U2-PD1) U2 -6 A01X+062889Y-049098X0581Y0118R180S2 +327NRST U2 -7 A01X+062889Y-048902X0581Y0118R180S2 +327GND U2 -8 A01X+062889Y-048705X0581Y0118R180S2 +327+3.3V U2 -9 A01X+062889Y-048508X0581Y0118R180S2 +32710MHZ U2 -10 A01X+062889Y-048311X0581Y0118R180S2 +327PPS U2 -11 A01X+062889Y-048114X0581Y0118R180S2 +327GPS-TXD U2 -12 A01X+062889Y-047917X0581Y0118R180S2 +327GPS-RXD U2 -13 A01X+062333Y-047361X0118Y0581R180S2 +327(U2-PA4-PAD14) U2 -14 A01X+062136Y-047361X0118Y0581R180S2 +327(U2-PA5-PAD15) U2 -15 A01X+061939Y-047361X0118Y0581R180S2 +327PPS U2 -16 A01X+061742Y-047361X0118Y0581R180S2 +327(U2-PA7-PAD17) U2 -17 A01X+061545Y-047361X0118Y0581R180S2 +327(U2-PB0-PAD18) U2 -18 A01X+061348Y-047361X0118Y0581R180S2 +327(U2-PB1-PAD19) U2 -19 A01X+061152Y-047361X0118Y0581R180S2 +327/BOOT1 U2 -20 A01X+060955Y-047361X0118Y0581R180S2 +327U2-PB10-PAD21) U2 -21 A01X+060758Y-047361X0118Y0581R180S2 +327U2-PB11-PAD22) U2 -22 A01X+060561Y-047361X0118Y0581R180S2 +327GND U2 -23 A01X+060364Y-047361X0118Y0581R180S2 +327+3.3V U2 -24 A01X+060167Y-047361X0118Y0581R180S2 +327U2-PB12-PAD25) U2 -25 A01X+059611Y-047917X0581Y0118R180S2 +327U2-PB13-PAD26) U2 -26 A01X+059611Y-048114X0581Y0118R180S2 +327U2-PB14-PAD27) U2 -27 A01X+059611Y-048311X0581Y0118R180S2 +327U2-PB15-PAD28) U2 -28 A01X+059611Y-048508X0581Y0118R180S2 +327(U2-PA8-PAD29) U2 -29 A01X+059611Y-048705X0581Y0118R180S2 +327(U2-PA9-PAD30) U2 -30 A01X+059611Y-048902X0581Y0118R180S2 +327U2-PA10-PAD31) U2 -31 A01X+059611Y-049098X0581Y0118R180S2 +327USB-D+ U2 -32 A01X+059611Y-049295X0581Y0118R180S2 +327USB-D- U2 -33 A01X+059611Y-049492X0581Y0118R180S2 +327SWDIO U2 -34 A01X+059611Y-049689X0581Y0118R180S2 +327GND U2 -35 A01X+059611Y-049886X0581Y0118R180S2 +327+3.3V U2 -36 A01X+059611Y-050083X0581Y0118R180S2 +327SWCLK U2 -37 A01X+060167Y-050639X0118Y0581R180S2 +327U2-PA15-PAD38) U2 -38 A01X+060364Y-050639X0118Y0581R180S2 +327(U2-PB3-PAD39) U2 -39 A01X+060561Y-050639X0118Y0581R180S2 +327(U2-PB4-PAD40) U2 -40 A01X+060758Y-050639X0118Y0581R180S2 +327(U2-PB5-PAD41) U2 -41 A01X+060955Y-050639X0118Y0581R180S2 +327FEC-PWM U2 -42 A01X+061152Y-050639X0118Y0581R180S2 +327(U2-PB7-PAD43) U2 -43 A01X+061348Y-050639X0118Y0581R180S2 +327/BOOT0 U2 -44 A01X+061545Y-050639X0118Y0581R180S2 +327SCL U2 -45 A01X+061742Y-050639X0118Y0581R180S2 +327SDA U2 -46 A01X+061939Y-050639X0118Y0581R180S2 +327GND U2 -47 A01X+062136Y-050639X0118Y0581R180S2 +327+3.3V U2 -48 A01X+062333Y-050639X0118Y0581R180S2 +327NET-(J6-IN) J6 -1 A01X+076276Y-039526X0394Y0394R090S2 +327GND J6 -2 A01X+076866Y-038945X0413Y0866R090S2 +327GND J6 -2 A01X+077457Y-039526X0394Y0394R090S2 +327GND J6 -2 A01X+076866Y-040106X0413Y0866R090S2 +327+3.3V C7 -1 A01X+063750Y-050750X0423Y0374R000S2 +327GND C7 -2 A01X+064429Y-050750X0423Y0374R000S2 +327+5V R5 -1 A01X+053219Y-040000X0384Y0374R180S2 +327NET-(D4-A) R5 -2 A01X+052500Y-040000X0384Y0374R180S2 +327NET-(J9-IN) J9 -1 A01X+088250Y-033500X0500Y1417R270S2 +327GND J9 -2 A01X+088171Y-034612X0531Y1654R270S2 +327GND J9 -2 A02X+088171Y-034612X0531Y1654R270S1 +327GND J9 -2 A01X+088171Y-032388X0531Y1654R270S2 +327GND J9 -2 A02X+088171Y-032388X0531Y1654R270S1 +327NET-(J7-IN) R15 -1 A01X+086200Y-039000X0384Y0374R180S2 +327NET-(R15-PAD2) R15 -2 A01X+085481Y-039000X0384Y0374R180S2 +327NET-(J5-IN) J5 -1 A01X+076276Y-037026X0394Y0394R090S2 +327GND J5 -2 A01X+076866Y-036445X0413Y0866R090S2 +327GND J5 -2 A01X+077457Y-037026X0394Y0394R090S2 +327GND J5 -2 A01X+076866Y-037606X0413Y0866R090S2 +317NET-(U5-OUT) U5 -1 D0315PA00X+068250Y-032750X1181Y1181R000S0 +317GND U5 -2 D0315PA00X+064500Y-032750X1181Y0000R000S0 +317NET-(U3B--) U5 -3 D0315PA00X+060750Y-032750X1181Y0000R000S0 +317(U5-VREF-PAD4) U5 -4 D0315PA00X+068250Y-040250X1181Y0000R000S0 +317+5V U5 -5 D0315PA00X+060750Y-040250X1181Y0000R000S0 +327USB-D- R23 -1 A01X+057521Y-049000X0384Y0374R180S2 +327NET-(R23-PAD2) R23 -2 A01X+056802Y-049000X0384Y0374R180S2 +327NET-(U8-V_ANT) R20 -1 A01X+085250Y-049891X0384Y0374R090S2 +327ET-(U8-VCC_RF) R20 -2 A01X+085250Y-050609X0384Y0374R090S2 +327(U8-SDA2-PAD1) U8 -1 A01X+077636Y-045240X0709Y0315R000S2 +327(U8-SCL2-PAD2) U8 -2 A01X+077636Y-045673X0709Y0315R000S2 +327GPS-TXD U8 -3 A01X+077636Y-046106X0709Y0315R000S2 +327GPS-RXD U8 -4 A01X+077636Y-046539X0709Y0315R000S2 +327D-(U8-NC-PAD5) U8 -5 A01X+077636Y-046972X0709Y0315R000S2 +327+3.3V U8 -6 A01X+077636Y-047406X0709Y0315R000S2 +327GND U8 -7 A01X+077636Y-047839X0709Y0315R000S2 +327-VCC_OUT-PAD8) U8 -8 A01X+077636Y-048272X0709Y0315R000S2 +327D-(U8-NC-PAD9) U8 -9 A01X+077636Y-049768X0709Y0315R000S2 +3278-RESET-PAD10) U8 -10 A01X+077636Y-050201X0709Y0315R000S2 +327ET-(U8-V_BCKP) U8 -11 A01X+077636Y-050634X0709Y0315R000S2 +327ESERVED-PAD12) U8 -12 A01X+077636Y-051067X0709Y0315R000S2 +327GND U8 -13 A01X+077636Y-051500X0709Y0315R000S2 +327GND U8 -14 A01X+077636Y-051933X0709Y0315R000S2 +327GND U8 -15 A01X+084250Y-051933X0709Y0315R000S2 +327NET-(J10-IN) U8 -16 A01X+084250Y-051500X0709Y0315R000S2 +327GND U8 -17 A01X+084250Y-051067X0709Y0315R000S2 +327ET-(U8-VCC_RF) U8 -18 A01X+084250Y-050634X0709Y0315R000S2 +327NET-(U8-V_ANT) U8 -19 A01X+084250Y-050201X0709Y0315R000S2 +327AADET_N-PAD20) U8 -20 A01X+084250Y-049768X0709Y0315R000S2 +327ESERVED-PAD21) U8 -21 A01X+084250Y-048272X0709Y0315R000S2 +327ESERVED-PAD22) U8 -22 A01X+084250Y-047839X0709Y0315R000S2 +327ESERVED-PAD23) U8 -23 A01X+084250Y-047406X0709Y0315R000S2 +327-VDDUSB-PAD24) U8 -24 A01X+084250Y-046972X0709Y0315R000S2 +327-USB_DM-PAD25) U8 -25 A01X+084250Y-046539X0709Y0315R000S2 +327-USB_DP-PAD26) U8 -26 A01X+084250Y-046106X0709Y0315R000S2 +327EXTINT0-PAD27) U8 -27 A01X+084250Y-045673X0709Y0315R000S2 +327PPS U8 -28 A01X+084250Y-045240X0709Y0315R000S2 +999 diff --git a/production/cheapsdo_2023-12-16_22-36-49/positions.csv b/production/cheapsdo_2023-12-16_22-36-49/positions.csv new file mode 100644 index 0000000..ef59134 --- /dev/null +++ b/production/cheapsdo_2023-12-16_22-36-49/positions.csv @@ -0,0 +1,63 @@ +Designator,Mid X,Mid Y,Rotation,Layer +C1,139.7,-106.2725,270.0,top +C10,172.2725,-108.03,0.0,top +C11,185.42,-87.4025,270.0,top +C12,204.47,-87.8575,90.0,top +C13,193.675,-123.19,270.0,top +C14,185.35,-133.35,270.0,top +C2,145.1375,-93.98,180.0,top +C3,155.9295,-133.35,0.0,top +C4,173.2575,-129.54,0.0,top +C5,170.0825,-118.745,0.0,top +C6,152.4,-116.6125,90.0,top +C7,162.7875,-128.905,0.0,top +C8,181.61,-93.98,180.0,top +C9,186.4625,-97.79,0.0,top +D1,129.3745,-104.14,0.0,top +D2,129.3875,-106.68,0.0,top +D3,129.3875,-99.06,0.0,top +D4,129.3875,-101.6,0.0,top +D5,190.5,-123.21,90.0,top +D6,146.665,-89.535,180.0,top +J1,137.16,-84.455,270.0,top +J10,222.25,-130.81,0.0,top +J11,186.69,-115.57,0.0,top +J2,133.29,-125.73,270.0,top +J3,151.13,-136.525,90.0,top +J4,195.24,-87.63,270.0,top +J5,195.24,-94.045,270.0,top +J6,195.24,-100.395,270.0,top +J7,223.9375,-100.33,90.0,top +J8,223.9375,-115.57,90.0,top +J9,223.955,-85.09,90.0,top +R1,134.2625,-104.14,180.0,top +R10,154.94,-116.5625,270.0,top +R11,158.075,-110.8475,90.0,top +R12,159.98,-110.8475,270.0,top +R13,168.87,-108.9425,270.0,top +R14,218.0355,-101.6,180.0,top +R15,218.0355,-99.06,180.0,top +R16,218.7975,-116.84,180.0,top +R17,218.7975,-114.3,180.0,top +R18,218.5435,-86.36,180.0,top +R19,218.44,-83.82,180.0,top +R2,134.2625,-106.68,180.0,top +R20,216.535,-127.635,270.0,top +R21,190.5,-127.635,270.0,top +R22,145.19,-126.365,0.0,top +R23,145.19,-124.46,180.0,top +R3,134.2625,-99.06,180.0,top +R5,134.2625,-101.6,180.0,top +R7,145.1375,-122.555,0.0,top +R8,152.0425,-133.35,0.0,top +R9,161.925,-133.35,0.0,top +U1,142.85,-99.06,0.0,top +U2,155.575,-124.46,180.0,top +U3,164.425,-110.57,90.0,top +U4,187.325,-94.615,0.0,top +U5,163.83,-92.71,0.0,top +U6,181.61,-88.345,270.0,top +U7,208.28,-94.55,90.0,top +U8,205.595,-123.41,0.0,top +U9,141.1025,-125.41,0.0,top +Y1,170.97,-124.305,90.0,top diff --git a/~cheapsdo.kicad_pcb.lck b/~cheapsdo.kicad_pcb.lck deleted file mode 100644 index 202bfb9..0000000 --- a/~cheapsdo.kicad_pcb.lck +++ /dev/null @@ -1 +0,0 @@ -{"hostname":"framework","username":"sebastian"} \ No newline at end of file