Nixieclock_V2/nixiev2.kicad_pcb

1825 lines
144 KiB
Plaintext

(kicad_pcb (version 20171130) (host pcbnew 5.0.2+dfsg1-1)
(general
(thickness 1.6)
(drawings 6)
(tracks 293)
(zones 0)
(modules 14)
(nets 40)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
(setup
(last_trace_width 1.27)
(user_trace_width 0.8)
(user_trace_width 1.27)
(user_trace_width 1.5)
(trace_clearance 0.2)
(zone_clearance 1)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.15)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(user_via 0.8 0.4)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 4.5 4.5)
(pad_drill 3.2)
(pad_to_mask_clearance 0.2)
(solder_mask_min_width 0.25)
(aux_axis_origin 22.86 38.1)
(visible_elements 7FFFFFFF)
(pcbplotparams
(layerselection 0x010f0_80000001)
(usegerberextensions true)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin true)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk true)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "gerber/"))
)
(net 0 "")
(net 1 GND)
(net 2 +5V)
(net 3 RCLK)
(net 4 SCLK)
(net 5 SerialIn)
(net 6 HV)
(net 7 SerialOut)
(net 8 "Net-(R1-Pad2)")
(net 9 "Net-(R2-Pad2)")
(net 10 "Net-(T1-Pad12)")
(net 11 "Net-(T1-Pad6)")
(net 12 "Net-(T1-Pad9)")
(net 13 "Net-(T1-Pad3)")
(net 14 "Net-(T1-Pad4)")
(net 15 "Net-(T1-Pad2)")
(net 16 "Net-(T1-Pad10)")
(net 17 "Net-(T1-Pad8)")
(net 18 "Net-(T1-Pad5)")
(net 19 "Net-(T1-Pad7)")
(net 20 "Net-(T1-Pad11)")
(net 21 "Net-(T2-Pad12)")
(net 22 "Net-(T2-Pad6)")
(net 23 "Net-(T2-Pad9)")
(net 24 "Net-(T2-Pad3)")
(net 25 "Net-(T2-Pad4)")
(net 26 "Net-(T2-Pad2)")
(net 27 "Net-(T2-Pad10)")
(net 28 "Net-(T2-Pad8)")
(net 29 "Net-(T2-Pad5)")
(net 30 "Net-(T2-Pad7)")
(net 31 "Net-(T2-Pad11)")
(net 32 "Net-(U1-Pad1)")
(net 33 "Net-(U1-Pad2)")
(net 34 "Net-(U1-Pad3)")
(net 35 "Net-(U1-Pad4)")
(net 36 "Net-(U1-Pad5)")
(net 37 "Net-(U1-Pad6)")
(net 38 "Net-(U1-Pad7)")
(net 39 "Net-(U1-Pad15)")
(net_class Default "Dies ist die voreingestellte Netzklasse."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net +5V)
(add_net GND)
(add_net HV)
(add_net "Net-(R1-Pad2)")
(add_net "Net-(R2-Pad2)")
(add_net "Net-(T1-Pad10)")
(add_net "Net-(T1-Pad11)")
(add_net "Net-(T1-Pad12)")
(add_net "Net-(T1-Pad2)")
(add_net "Net-(T1-Pad3)")
(add_net "Net-(T1-Pad4)")
(add_net "Net-(T1-Pad5)")
(add_net "Net-(T1-Pad6)")
(add_net "Net-(T1-Pad7)")
(add_net "Net-(T1-Pad8)")
(add_net "Net-(T1-Pad9)")
(add_net "Net-(T2-Pad10)")
(add_net "Net-(T2-Pad11)")
(add_net "Net-(T2-Pad12)")
(add_net "Net-(T2-Pad2)")
(add_net "Net-(T2-Pad3)")
(add_net "Net-(T2-Pad4)")
(add_net "Net-(T2-Pad5)")
(add_net "Net-(T2-Pad6)")
(add_net "Net-(T2-Pad7)")
(add_net "Net-(T2-Pad8)")
(add_net "Net-(T2-Pad9)")
(add_net "Net-(U1-Pad1)")
(add_net "Net-(U1-Pad15)")
(add_net "Net-(U1-Pad2)")
(add_net "Net-(U1-Pad3)")
(add_net "Net-(U1-Pad4)")
(add_net "Net-(U1-Pad5)")
(add_net "Net-(U1-Pad6)")
(add_net "Net-(U1-Pad7)")
(add_net RCLK)
(add_net SCLK)
(add_net SerialIn)
(add_net SerialOut)
)
(module Package_DIP:DIP-16_W7.62mm_Socket_LongPads (layer F.Cu) (tedit 5A02E8C5) (tstamp 5E341638)
(at 114.3 78.74 180)
(descr "16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads")
(tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads")
(path /5E09BA38)
(fp_text reference U2 (at 3.81 -2.33 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 74141 (at 3.81 20.11 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_arc (start 3.81 -1.33) (end 2.81 -1.33) (angle -180) (layer F.SilkS) (width 0.12))
(fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 -1.27) (end 6.985 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 19.05) (end 0.635 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 19.05) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -1.33) (end -1.27 19.11) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 19.11) (end 8.89 19.11) (layer F.Fab) (width 0.1))
(fp_line (start 8.89 19.11) (end 8.89 -1.33) (layer F.Fab) (width 0.1))
(fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1))
(fp_line (start 2.81 -1.33) (end 1.56 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.56 -1.33) (end 1.56 19.11) (layer F.SilkS) (width 0.12))
(fp_line (start 1.56 19.11) (end 6.06 19.11) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 19.11) (end 6.06 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start -1.44 -1.39) (end -1.44 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start -1.44 19.17) (end 9.06 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start 9.06 19.17) (end 9.06 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start 9.06 -1.39) (end -1.44 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start -1.55 -1.6) (end -1.55 19.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.55 19.4) (end 9.15 19.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.15 19.4) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.15 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 3.81 8.89 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 thru_hole rect (at 0 0 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 20 "Net-(T1-Pad11)"))
(pad 9 thru_hole oval (at 7.62 17.78 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 11 "Net-(T1-Pad6)"))
(pad 2 thru_hole oval (at 0 2.54 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 15 "Net-(T1-Pad2)"))
(pad 10 thru_hole oval (at 7.62 15.24 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 16 "Net-(T1-Pad10)"))
(pad 3 thru_hole oval (at 0 5.08 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 34 "Net-(U1-Pad3)"))
(pad 11 thru_hole oval (at 7.62 12.7 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 12 "Net-(T1-Pad9)"))
(pad 4 thru_hole oval (at 0 7.62 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 39 "Net-(U1-Pad15)"))
(pad 12 thru_hole oval (at 7.62 10.16 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 5 thru_hole oval (at 0 10.16 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 13 thru_hole oval (at 7.62 7.62 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 19 "Net-(T1-Pad7)"))
(pad 6 thru_hole oval (at 0 12.7 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 33 "Net-(U1-Pad2)"))
(pad 14 thru_hole oval (at 7.62 5.08 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 17 "Net-(T1-Pad8)"))
(pad 7 thru_hole oval (at 0 15.24 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 32 "Net-(U1-Pad1)"))
(pad 15 thru_hole oval (at 7.62 2.54 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 14 "Net-(T1-Pad4)"))
(pad 8 thru_hole oval (at 0 17.78 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 18 "Net-(T1-Pad5)"))
(pad 16 thru_hole oval (at 7.62 0 180) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 13 "Net-(T1-Pad3)"))
(model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-16_W7.62mm_Socket.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Nixie:IN-12B (layer F.Cu) (tedit 5E0546D3) (tstamp 5E341621)
(at 57.15 69.85)
(path /58A86A37)
(fp_text reference T2 (at 0 15.24) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value IN12b (at 0 -1.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 10 -4) (end 10 4) (layer F.SilkS) (width 0.15))
(fp_line (start -10 -4) (end -10 4) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 4) (end 10 4) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 4) (end 0 14) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 -4) (end 0 -14) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 -4) (end -10 -4) (angle 90) (layer F.SilkS) (width 0.15))
(fp_circle (center 0 0) (end 3 -2) (layer F.SilkS) (width 0.15))
(pad 6 thru_hole circle (at 0 -9) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 22 "Net-(T2-Pad6)"))
(pad 12 thru_hole circle (at 0 9) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 21 "Net-(T2-Pad12)"))
(pad 3 thru_hole circle (at 5.75 0) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 24 "Net-(T2-Pad3)"))
(pad 9 thru_hole circle (at -5.75 0) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 23 "Net-(T2-Pad9)"))
(pad 10 thru_hole circle (at -5.75 4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 27 "Net-(T2-Pad10)"))
(pad 8 thru_hole circle (at -5.75 -4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 28 "Net-(T2-Pad8)"))
(pad 4 thru_hole circle (at 5.75 -4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 25 "Net-(T2-Pad4)"))
(pad 2 thru_hole circle (at 5.725 4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 26 "Net-(T2-Pad2)"))
(pad 11 thru_hole circle (at -4 8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 31 "Net-(T2-Pad11)"))
(pad 1 thru_hole circle (at 4 8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 9 "Net-(R2-Pad2)"))
(pad 5 thru_hole circle (at 4 -8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 29 "Net-(T2-Pad5)"))
(pad 7 thru_hole circle (at -4 -8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 30 "Net-(T2-Pad7)"))
(pad "" np_thru_hole circle (at 0 0) (size 8 8) (drill 8) (layers *.Cu *.Mask))
)
(module Resistors_ThroughHole:R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal (layer F.Cu) (tedit 5874F706) (tstamp 5E341D72)
(at 73.66 90.17 180)
(descr "Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=12.7mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf")
(tags "Resistor Axial_DIN0309 series Axial Horizontal pin pitch 12.7mm 0.5W = 1/2W length 9mm diameter 3.2mm")
(path /58A86A4E)
(fp_text reference R2 (at 6.35 -2.66 180) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 12k (at 6.35 2.66 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.85 -1.6) (end 1.85 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 1.85 1.6) (end 10.85 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 10.85 1.6) (end 10.85 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 10.85 -1.6) (end 1.85 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 0 0) (end 1.85 0) (layer F.Fab) (width 0.1))
(fp_line (start 12.7 0) (end 10.85 0) (layer F.Fab) (width 0.1))
(fp_line (start 1.79 -1.66) (end 1.79 1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 1.79 1.66) (end 10.91 1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 10.91 1.66) (end 10.91 -1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 10.91 -1.66) (end 1.79 -1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 0.98 0) (end 1.79 0) (layer F.SilkS) (width 0.12))
(fp_line (start 11.72 0) (end 10.91 0) (layer F.SilkS) (width 0.12))
(fp_line (start -1.05 -1.95) (end -1.05 1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.05 1.95) (end 13.75 1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.75 1.95) (end 13.75 -1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.75 -1.95) (end -1.05 -1.95) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 6 HV))
(pad 2 thru_hole oval (at 12.7 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 9 "Net-(R2-Pad2)"))
(model Resistors_THT.3dshapes/R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_ThroughHole:C_Disc_D3.4mm_W2.1mm_P2.50mm (layer F.Cu) (tedit 58B1C98C) (tstamp 58A9FE81)
(at 86.36 102.87 270)
(descr "C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.4*2.1mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf")
(tags "C Disc series Radial pin pitch 2.50mm diameter 3.4mm width 2.1mm Capacitor")
(path /58B1DA5F)
(fp_text reference C3 (at 1.25 -2.11 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100nF (at 1.25 2.11 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.45 -1.05) (end -0.45 1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.45 1.05) (end 2.95 1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 1.05) (end 2.95 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 -1.05) (end -0.45 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.51 -1.11) (end 3.01 -1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 1.11) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 -1.11) (end -0.51 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 0.996) (end -0.51 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 -1.11) (end 3.01 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 0.996) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -1.05 -1.4) (end -1.05 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.05 1.4) (end 3.55 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 1.4) (end 3.55 -1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 -1.4) (end -1.05 -1.4) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 2 thru_hole circle (at 2.5 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(model Capacitors_THT.3dshapes/C_Disc_D3.4mm_W2.1mm_P2.50mm.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Connect:bornier4 (layer F.Cu) (tedit 587FD5E4) (tstamp 58A9FE89)
(at 27.94 100.33 270)
(descr "Bornier d'alimentation 4 pins")
(tags DEV)
(path /58A9FE8F)
(fp_text reference P1 (at 7.6 -4.8 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CONN_01X04 (at 7.6 4.75 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.48 2.55) (end 17.72 2.55) (layer F.Fab) (width 0.1))
(fp_line (start -2.43 3.75) (end -2.48 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.48 3.75) (end -2.48 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.48 -3.75) (end 17.72 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 17.72 -3.75) (end 17.72 3.75) (layer F.Fab) (width 0.1))
(fp_line (start 17.72 3.75) (end -2.43 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 17.78 3.81) (end 17.78 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 17.78 2.54) (end -2.54 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 -3.81) (end 17.78 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 3.81) (end 17.78 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.73 -4) (end 17.97 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.73 -4) (end -2.73 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 17.97 4) (end 17.97 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start 17.97 4) (end -2.73 4) (layer F.CrtYd) (width 0.05))
(pad 2 thru_hole circle (at 5.08 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 3 RCLK))
(pad 3 thru_hole circle (at 10.16 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 4 SCLK))
(pad 1 thru_hole rect (at 0 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 4 thru_hole circle (at 15.24 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 5 SerialIn))
(model Connectors.3dshapes/bornier4.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connect:bornier2 (layer F.Cu) (tedit 587FD522) (tstamp 58A9FE8F)
(at 115.57 46.99 90)
(descr "Bornier d'alimentation 2 pins")
(tags DEV)
(path /58A9FC7D)
(fp_text reference P2 (at 2.54 -5.08 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CONN_01X02 (at 2.54 5.08 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.41 2.55) (end 7.49 2.55) (layer F.Fab) (width 0.1))
(fp_line (start -2.46 -3.75) (end -2.46 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.46 3.75) (end 7.54 3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.54 3.75) (end 7.54 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.54 -3.75) (end -2.46 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.62 2.54) (end -2.54 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start 7.62 3.81) (end 7.62 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 7.62 -3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 -3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 3.81) (end 7.62 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.71 -4) (end 7.79 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.71 -4) (end -2.71 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.79 4) (end 7.79 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.79 4) (end -2.71 4) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole rect (at 0 0 90) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 1 GND))
(pad 2 thru_hole circle (at 5.08 0 90) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 6 HV))
(model Connectors.3dshapes/bornier2.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connect:bornier4 (layer F.Cu) (tedit 587FD5E4) (tstamp 58A9FE97)
(at 115.57 100.33 270)
(descr "Bornier d'alimentation 4 pins")
(tags DEV)
(path /58A9FE03)
(fp_text reference P3 (at 7.6 -4.8 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CONN_01X04 (at 7.6 4.75 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.48 2.55) (end 17.72 2.55) (layer F.Fab) (width 0.1))
(fp_line (start -2.43 3.75) (end -2.48 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.48 3.75) (end -2.48 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.48 -3.75) (end 17.72 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 17.72 -3.75) (end 17.72 3.75) (layer F.Fab) (width 0.1))
(fp_line (start 17.72 3.75) (end -2.43 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.54 -3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 17.78 3.81) (end 17.78 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 17.78 2.54) (end -2.54 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 -3.81) (end 17.78 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 3.81) (end 17.78 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.73 -4) (end 17.97 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.73 -4) (end -2.73 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 17.97 4) (end 17.97 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start 17.97 4) (end -2.73 4) (layer F.CrtYd) (width 0.05))
(pad 2 thru_hole circle (at 5.08 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 3 RCLK))
(pad 3 thru_hole circle (at 10.16 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 4 SCLK))
(pad 1 thru_hole rect (at 0 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 4 thru_hole circle (at 15.24 0 270) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 7 SerialOut))
(model Connectors.3dshapes/bornier4.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connect:bornier2 (layer F.Cu) (tedit 587FD522) (tstamp 58A9FE9D)
(at 27.94 46.99 90)
(descr "Bornier d'alimentation 2 pins")
(tags DEV)
(path /58A9FCD0)
(fp_text reference P4 (at 2.54 -5.08 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value CONN_01X02 (at 2.54 5.08 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.41 2.55) (end 7.49 2.55) (layer F.Fab) (width 0.1))
(fp_line (start -2.46 -3.75) (end -2.46 3.75) (layer F.Fab) (width 0.1))
(fp_line (start -2.46 3.75) (end 7.54 3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.54 3.75) (end 7.54 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.54 -3.75) (end -2.46 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 7.62 2.54) (end -2.54 2.54) (layer F.SilkS) (width 0.12))
(fp_line (start 7.62 3.81) (end 7.62 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start 7.62 -3.81) (end -2.54 -3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 -3.81) (end -2.54 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.54 3.81) (end 7.62 3.81) (layer F.SilkS) (width 0.12))
(fp_line (start -2.71 -4) (end 7.79 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.71 -4) (end -2.71 4) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.79 4) (end 7.79 -4) (layer F.CrtYd) (width 0.05))
(fp_line (start 7.79 4) (end -2.71 4) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole rect (at 0 0 90) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 1 GND))
(pad 2 thru_hole circle (at 5.08 0 90) (size 3 3) (drill 1.52) (layers *.Cu *.Mask)
(net 6 HV))
(model Connectors.3dshapes/bornier2.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_ThroughHole:R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal (layer F.Cu) (tedit 5874F706) (tstamp 58A9FEA3)
(at 81.28 90.17)
(descr "Resistor, Axial_DIN0309 series, Axial, Horizontal, pin pitch=12.7mm, 0.5W = 1/2W, length*diameter=9*3.2mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf")
(tags "Resistor Axial_DIN0309 series Axial Horizontal pin pitch 12.7mm 0.5W = 1/2W length 9mm diameter 3.2mm")
(path /58A863E1)
(fp_text reference R1 (at 6.35 -2.66) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 12k (at 6.35 2.66) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 1.85 -1.6) (end 1.85 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 1.85 1.6) (end 10.85 1.6) (layer F.Fab) (width 0.1))
(fp_line (start 10.85 1.6) (end 10.85 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 10.85 -1.6) (end 1.85 -1.6) (layer F.Fab) (width 0.1))
(fp_line (start 0 0) (end 1.85 0) (layer F.Fab) (width 0.1))
(fp_line (start 12.7 0) (end 10.85 0) (layer F.Fab) (width 0.1))
(fp_line (start 1.79 -1.66) (end 1.79 1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 1.79 1.66) (end 10.91 1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 10.91 1.66) (end 10.91 -1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 10.91 -1.66) (end 1.79 -1.66) (layer F.SilkS) (width 0.12))
(fp_line (start 0.98 0) (end 1.79 0) (layer F.SilkS) (width 0.12))
(fp_line (start 11.72 0) (end 10.91 0) (layer F.SilkS) (width 0.12))
(fp_line (start -1.05 -1.95) (end -1.05 1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.05 1.95) (end 13.75 1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.75 1.95) (end 13.75 -1.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 13.75 -1.95) (end -1.05 -1.95) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 6 HV))
(pad 2 thru_hole oval (at 12.7 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 8 "Net-(R1-Pad2)"))
(model Resistors_THT.3dshapes/R_Axial_DIN0309_L9.0mm_D3.2mm_P12.70mm_Horizontal.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Housings_DIP:DIP-16_W7.62mm (layer F.Cu) (tedit 58B2EA63) (tstamp 58A9FEDD)
(at 82.55 100.33 270)
(descr "16-lead dip package, row spacing 7.62 mm (300 mils)")
(tags "DIL DIP PDIP 2.54mm 7.62mm 300mil")
(path /58A88364)
(fp_text reference U1 (at 3.81 20.32 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 74HC595 (at 3.81 20.17 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_arc (start 3.81 -1.39) (end 2.81 -1.39) (angle -180) (layer F.SilkS) (width 0.12))
(fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 -1.27) (end 6.985 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 19.05) (end 0.635 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 19.05) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 2.81 -1.39) (end 1.04 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start 1.04 -1.39) (end 1.04 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start 1.04 19.17) (end 6.58 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start 6.58 19.17) (end 6.58 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start 6.58 -1.39) (end 4.81 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start -1.1 -1.6) (end -1.1 19.3) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.1 19.3) (end 8.7 19.3) (layer F.CrtYd) (width 0.05))
(fp_line (start 8.7 19.3) (end 8.7 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 8.7 -1.6) (end -1.1 -1.6) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole rect (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 32 "Net-(U1-Pad1)"))
(pad 9 thru_hole oval (at 7.62 17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 7 SerialOut))
(pad 2 thru_hole oval (at 0 2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 33 "Net-(U1-Pad2)"))
(pad 10 thru_hole oval (at 7.62 15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 3 thru_hole oval (at 0 5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 34 "Net-(U1-Pad3)"))
(pad 11 thru_hole oval (at 7.62 12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 4 SCLK))
(pad 4 thru_hole oval (at 0 7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 35 "Net-(U1-Pad4)"))
(pad 12 thru_hole oval (at 7.62 10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 3 RCLK))
(pad 5 thru_hole oval (at 0 10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 36 "Net-(U1-Pad5)"))
(pad 13 thru_hole oval (at 7.62 7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 6 thru_hole oval (at 0 12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 37 "Net-(U1-Pad6)"))
(pad 14 thru_hole oval (at 7.62 5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 5 SerialIn))
(pad 7 thru_hole oval (at 0 15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 38 "Net-(U1-Pad7)"))
(pad 15 thru_hole oval (at 7.62 2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 39 "Net-(U1-Pad15)"))
(pad 8 thru_hole oval (at 0 17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 16 thru_hole oval (at 7.62 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(model Housings_DIP.3dshapes/DIP-16_W7.62mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_ThroughHole:C_Disc_D3.4mm_W2.1mm_P2.50mm (layer F.Cu) (tedit 58B2EA34) (tstamp 58B1BFE7)
(at 27.94 68.62 270)
(descr "C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.4*2.1mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf")
(tags "C Disc series Radial pin pitch 2.50mm diameter 3.4mm width 2.1mm Capacitor")
(path /58A897C8)
(fp_text reference C1 (at -2.54 0 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100nF (at 1.25 2.11 270) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.45 -1.05) (end -0.45 1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.45 1.05) (end 2.95 1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 1.05) (end 2.95 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 -1.05) (end -0.45 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.51 -1.11) (end 3.01 -1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 1.11) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 -1.11) (end -0.51 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 0.996) (end -0.51 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 -1.11) (end 3.01 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 0.996) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -1.05 -1.4) (end -1.05 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.05 1.4) (end 3.55 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 1.4) (end 3.55 -1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 -1.4) (end -1.05 -1.4) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 2 thru_hole circle (at 2.5 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(model Capacitors_THT.3dshapes/C_Disc_D3.4mm_W2.1mm_P2.50mm.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_ThroughHole:C_Disc_D3.4mm_W2.1mm_P2.50mm (layer F.Cu) (tedit 58B2EA2F) (tstamp 58B1C48E)
(at 118.11 68.58 90)
(descr "C, Disc series, Radial, pin pitch=2.50mm, , diameter*width=3.4*2.1mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf")
(tags "C Disc series Radial pin pitch 2.50mm diameter 3.4mm width 2.1mm Capacitor")
(path /58B1D4FC)
(fp_text reference C2 (at 1.27 2.54 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100nF (at 1.25 2.11 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.45 -1.05) (end -0.45 1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.45 1.05) (end 2.95 1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 1.05) (end 2.95 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start 2.95 -1.05) (end -0.45 -1.05) (layer F.Fab) (width 0.1))
(fp_line (start -0.51 -1.11) (end 3.01 -1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 1.11) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 -1.11) (end -0.51 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start -0.51 0.996) (end -0.51 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 -1.11) (end 3.01 -0.996) (layer F.SilkS) (width 0.12))
(fp_line (start 3.01 0.996) (end 3.01 1.11) (layer F.SilkS) (width 0.12))
(fp_line (start -1.05 -1.4) (end -1.05 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.05 1.4) (end 3.55 1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 1.4) (end 3.55 -1.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.55 -1.4) (end -1.05 -1.4) (layer F.CrtYd) (width 0.05))
(pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 2 thru_hole circle (at 2.5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(model Capacitors_THT.3dshapes/C_Disc_D3.4mm_W2.1mm_P2.50mm.wrl
(at (xyz 0 0 0))
(scale (xyz 0.393701 0.393701 0.393701))
(rotate (xyz 0 0 0))
)
)
(module Nixie:IN-12B (layer F.Cu) (tedit 5E0546D3) (tstamp 5E34160A)
(at 90.17 69.85)
(path /58A85EB0)
(fp_text reference T1 (at 0 15.24) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value IN12b (at 0 -1.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 3 -2) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 -4) (end -10 -4) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 -4) (end 0 -14) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 4) (end 0 14) (angle 90) (layer F.SilkS) (width 0.15))
(fp_arc (start 0 4) (end 10 4) (angle 90) (layer F.SilkS) (width 0.15))
(fp_line (start -10 -4) (end -10 4) (layer F.SilkS) (width 0.15))
(fp_line (start 10 -4) (end 10 4) (layer F.SilkS) (width 0.15))
(pad "" np_thru_hole circle (at 0 0) (size 8 8) (drill 8) (layers *.Cu *.Mask))
(pad 7 thru_hole circle (at -4 -8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 19 "Net-(T1-Pad7)"))
(pad 5 thru_hole circle (at 4 -8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 18 "Net-(T1-Pad5)"))
(pad 1 thru_hole circle (at 4 8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 8 "Net-(R1-Pad2)"))
(pad 11 thru_hole circle (at -4 8) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 20 "Net-(T1-Pad11)"))
(pad 2 thru_hole circle (at 5.725 4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 15 "Net-(T1-Pad2)"))
(pad 4 thru_hole circle (at 5.75 -4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 14 "Net-(T1-Pad4)"))
(pad 8 thru_hole circle (at -5.75 -4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 17 "Net-(T1-Pad8)"))
(pad 10 thru_hole circle (at -5.75 4.5) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 16 "Net-(T1-Pad10)"))
(pad 9 thru_hole circle (at -5.75 0) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 12 "Net-(T1-Pad9)"))
(pad 3 thru_hole circle (at 5.75 0) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 13 "Net-(T1-Pad3)"))
(pad 12 thru_hole circle (at 0 9) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 10 "Net-(T1-Pad12)"))
(pad 6 thru_hole circle (at 0 -9) (size 2.6 2.6) (drill 1.6) (layers *.Cu *.Mask)
(net 11 "Net-(T1-Pad6)"))
)
(module Package_DIP:DIP-16_W7.62mm_Socket_LongPads (layer F.Cu) (tedit 5A02E8C5) (tstamp 5E341663)
(at 31.75 60.96)
(descr "16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket, LongPads")
(tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket LongPads")
(path /5E09E38E)
(fp_text reference U3 (at 3.81 -2.33) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 74141 (at 3.81 20.11) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 3.81 8.89) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 9.15 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.15 19.4) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.55 19.4) (end 9.15 19.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.55 -1.6) (end -1.55 19.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.06 -1.39) (end -1.44 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start 9.06 19.17) (end 9.06 -1.39) (layer F.SilkS) (width 0.12))
(fp_line (start -1.44 19.17) (end 9.06 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start -1.44 -1.39) (end -1.44 19.17) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 6.06 19.11) (end 6.06 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 1.56 19.11) (end 6.06 19.11) (layer F.SilkS) (width 0.12))
(fp_line (start 1.56 -1.33) (end 1.56 19.11) (layer F.SilkS) (width 0.12))
(fp_line (start 2.81 -1.33) (end 1.56 -1.33) (layer F.SilkS) (width 0.12))
(fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1))
(fp_line (start 8.89 19.11) (end 8.89 -1.33) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 19.11) (end 8.89 19.11) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -1.33) (end -1.27 19.11) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 0.635 19.05) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 19.05) (end 0.635 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 6.985 -1.27) (end 6.985 19.05) (layer F.Fab) (width 0.1))
(fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
(fp_arc (start 3.81 -1.33) (end 2.81 -1.33) (angle -180) (layer F.SilkS) (width 0.12))
(pad 16 thru_hole oval (at 7.62 0) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 24 "Net-(T2-Pad3)"))
(pad 8 thru_hole oval (at 0 17.78) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 29 "Net-(T2-Pad5)"))
(pad 15 thru_hole oval (at 7.62 2.54) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 25 "Net-(T2-Pad4)"))
(pad 7 thru_hole oval (at 0 15.24) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 36 "Net-(U1-Pad5)"))
(pad 14 thru_hole oval (at 7.62 5.08) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 28 "Net-(T2-Pad8)"))
(pad 6 thru_hole oval (at 0 12.7) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 37 "Net-(U1-Pad6)"))
(pad 13 thru_hole oval (at 7.62 7.62) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 30 "Net-(T2-Pad7)"))
(pad 5 thru_hole oval (at 0 10.16) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 2 +5V))
(pad 12 thru_hole oval (at 7.62 10.16) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 1 GND))
(pad 4 thru_hole oval (at 0 7.62) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 35 "Net-(U1-Pad4)"))
(pad 11 thru_hole oval (at 7.62 12.7) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 23 "Net-(T2-Pad9)"))
(pad 3 thru_hole oval (at 0 5.08) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 38 "Net-(U1-Pad7)"))
(pad 10 thru_hole oval (at 7.62 15.24) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 27 "Net-(T2-Pad10)"))
(pad 2 thru_hole oval (at 0 2.54) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 26 "Net-(T2-Pad2)"))
(pad 9 thru_hole oval (at 7.62 17.78) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 22 "Net-(T2-Pad6)"))
(pad 1 thru_hole rect (at 0 0) (size 2.4 1.6) (drill 0.8) (layers *.Cu *.Mask)
(net 31 "Net-(T2-Pad11)"))
(model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-16_W7.62mm_Socket.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(dimension 97.79 (width 0.3) (layer Cmts.User)
(gr_text "97,790 mm" (at 71.755 29.13) (layer Cmts.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 120.65 38.1) (xy 120.65 27.78)))
(feature2 (pts (xy 22.86 38.1) (xy 22.86 27.78)))
(crossbar (pts (xy 22.86 30.48) (xy 120.65 30.48)))
(arrow1a (pts (xy 120.65 30.48) (xy 119.523496 31.066421)))
(arrow1b (pts (xy 120.65 30.48) (xy 119.523496 29.893579)))
(arrow2a (pts (xy 22.86 30.48) (xy 23.986504 31.066421)))
(arrow2b (pts (xy 22.86 30.48) (xy 23.986504 29.893579)))
)
(dimension 81.28 (width 0.3) (layer Cmts.User)
(gr_text "81,280 mm" (at 130.89 78.74 270) (layer Cmts.User)
(effects (font (size 1.5 1.5) (thickness 0.3)))
)
(feature1 (pts (xy 120.65 119.38) (xy 132.24 119.38)))
(feature2 (pts (xy 120.65 38.1) (xy 132.24 38.1)))
(crossbar (pts (xy 129.54 38.1) (xy 129.54 119.38)))
(arrow1a (pts (xy 129.54 119.38) (xy 128.953579 118.253496)))
(arrow1b (pts (xy 129.54 119.38) (xy 130.126421 118.253496)))
(arrow2a (pts (xy 129.54 38.1) (xy 128.953579 39.226504)))
(arrow2b (pts (xy 129.54 38.1) (xy 130.126421 39.226504)))
)
(gr_line (start 22.86 119.38) (end 22.86 38.1) (angle 90) (layer Edge.Cuts) (width 0.15))
(gr_line (start 120.65 119.38) (end 22.86 119.38) (angle 90) (layer Edge.Cuts) (width 0.15))
(gr_line (start 120.65 38.1) (end 120.65 119.38) (angle 90) (layer Edge.Cuts) (width 0.15))
(gr_line (start 22.86 38.1) (end 120.65 38.1) (angle 90) (layer Edge.Cuts) (width 0.15))
(segment (start 86.36 105.45) (end 85.13 105.45) (width 0.8) (layer F.Cu) (net 2) (status 10))
(segment (start 85.13 105.45) (end 85.09 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1C4C4))
(segment (start 58.42 105.41) (end 60.92 105.41) (width 0.8) (layer F.Cu) (net 2))
(segment (start 60.92 105.41) (end 60.96 105.45) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1C391))
(segment (start 82.55 107.95) (end 82.55 105.41) (width 0.8) (layer F.Cu) (net 2) (status 10))
(segment (start 67.31 107.95) (end 67.31 105.41) (width 0.8) (layer F.Cu) (net 2) (status 10))
(segment (start 53.34 105.41) (end 58.42 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1BF83))
(segment (start 58.42 105.41) (end 67.31 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1C38F))
(segment (start 67.31 105.41) (end 82.55 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1BF92))
(segment (start 82.55 105.41) (end 85.09 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1C38D))
(segment (start 48.26 100.33) (end 53.34 105.41) (width 0.8) (layer F.Cu) (net 2) (tstamp 58B1BF72))
(segment (start 118.11 68.58) (end 114.3 68.58) (width 0.8) (layer B.Cu) (net 2))
(segment (start 115.57 98.03) (end 115.57 100.33) (width 0.8) (layer F.Cu) (net 2))
(segment (start 118.11 68.58) (end 118.745 69.215) (width 0.8) (layer F.Cu) (net 2))
(segment (start 118.745 69.215) (end 118.745 94.855) (width 0.8) (layer F.Cu) (net 2))
(segment (start 115.57 98.03) (end 118.745 94.855) (width 0.8) (layer F.Cu) (net 2))
(segment (start 110.53 105.37) (end 115.57 100.33) (width 0.8) (layer B.Cu) (net 2))
(segment (start 86.36 105.37) (end 110.53 105.37) (width 0.8) (layer B.Cu) (net 2))
(segment (start 27.94 71.12) (end 31.75 71.12) (width 0.8) (layer B.Cu) (net 2))
(segment (start 27.94 100.33) (end 48.26 100.33) (width 0.8) (layer F.Cu) (net 2))
(segment (start 27.94 94.615) (end 27.94 100.33) (width 0.8) (layer B.Cu) (net 2))
(segment (start 26.035 92.71) (end 27.94 94.615) (width 0.8) (layer B.Cu) (net 2))
(segment (start 27.94 71.12) (end 27.94 89.535) (width 0.8) (layer B.Cu) (net 2))
(segment (start 26.035 91.44) (end 26.035 92.71) (width 0.8) (layer B.Cu) (net 2))
(segment (start 27.94 89.535) (end 26.035 91.44) (width 0.8) (layer B.Cu) (net 2))
(via (at 72.39 110.49) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3))
(segment (start 27.94 105.41) (end 50.8 105.41) (width 0.8) (layer F.Cu) (net 3) (tstamp 58B1C21A) (status 10))
(segment (start 55.88 110.49) (end 50.8 105.41) (width 0.8) (layer F.Cu) (net 3) (tstamp 58B1C218))
(segment (start 55.88 110.49) (end 72.39 110.49) (width 0.8) (layer F.Cu) (net 3) (tstamp 58B1C217))
(segment (start 72.39 110.49) (end 72.39 107.95) (width 0.8) (layer B.Cu) (net 3) (tstamp 58B1C1C3) (status 20))
(segment (start 110.49 110.49) (end 115.57 105.41) (width 0.8) (layer B.Cu) (net 3))
(segment (start 72.39 110.49) (end 110.49 110.49) (width 0.8) (layer B.Cu) (net 3))
(segment (start 69.85 113.665) (end 57.15 113.665) (width 0.8) (layer F.Cu) (net 4) (tstamp 58B1C223))
(via (at 69.85 113.665) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 4))
(segment (start 50.8 107.95) (end 48.26 107.95) (width 0.8) (layer F.Cu) (net 4) (tstamp 58B2E803))
(segment (start 49.53 107.95) (end 48.26 107.95) (width 0.8) (layer F.Cu) (net 4) (tstamp 58B2E7DD))
(segment (start 48.26 107.95) (end 30.48 107.95) (width 0.8) (layer F.Cu) (net 4) (tstamp 58B2E808))
(segment (start 30.48 107.95) (end 27.94 110.49) (width 0.8) (layer F.Cu) (net 4) (tstamp 58B2E7E0) (status 20))
(segment (start 50.8 107.95) (end 56.515 113.665) (width 0.8) (layer F.Cu) (net 4))
(segment (start 56.515 113.665) (end 57.15 113.665) (width 0.8) (layer F.Cu) (net 4))
(segment (start 69.85 113.665) (end 69.85 107.95) (width 0.8) (layer B.Cu) (net 4))
(segment (start 112.395 113.665) (end 115.57 110.49) (width 0.8) (layer B.Cu) (net 4))
(segment (start 69.85 113.665) (end 112.395 113.665) (width 0.8) (layer B.Cu) (net 4))
(segment (start 27.94 115.57) (end 33.02 110.49) (width 0.8) (layer F.Cu) (net 5) (status 10))
(segment (start 55.88 116.84) (end 59.69 116.84) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B2E818))
(segment (start 49.53 110.49) (end 55.88 116.84) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B2E816))
(segment (start 33.02 110.49) (end 49.53 110.49) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B2E812))
(segment (start 74.93 116.84) (end 77.47 114.3) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B1BED8))
(segment (start 77.47 114.3) (end 77.47 107.95) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B2E566) (status 20))
(segment (start 59.69 116.84) (end 74.93 116.84) (width 0.8) (layer F.Cu) (net 5) (tstamp 58B2E81F))
(segment (start 73.66 90.17) (end 81.28 90.17) (width 1.5) (layer B.Cu) (net 6))
(segment (start 72.39 41.91) (end 27.94 41.91) (width 1.5) (layer B.Cu) (net 6))
(segment (start 74.93 44.45) (end 72.39 41.91) (width 1.5) (layer B.Cu) (net 6))
(segment (start 77.47 41.91) (end 74.93 44.45) (width 1.5) (layer B.Cu) (net 6))
(segment (start 115.57 41.91) (end 77.47 41.91) (width 1.5) (layer B.Cu) (net 6))
(via (at 74.93 78.74) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 6))
(segment (start 74.93 44.45) (end 74.93 78.74) (width 1.27) (layer B.Cu) (net 6))
(segment (start 74.93 78.74) (end 73.66 80.01) (width 1.27) (layer F.Cu) (net 6))
(segment (start 73.66 80.01) (end 73.66 90.17) (width 1.27) (layer F.Cu) (net 6))
(segment (start 115.57 115.57) (end 65.405 115.57) (width 0.8) (layer B.Cu) (net 7))
(segment (start 64.77 114.935) (end 64.77 107.95) (width 0.8) (layer B.Cu) (net 7))
(segment (start 65.405 115.57) (end 64.77 114.935) (width 0.8) (layer B.Cu) (net 7))
(segment (start 93.98 78.04) (end 94.17 77.85) (width 0.8) (layer B.Cu) (net 8))
(segment (start 93.98 78.04) (end 94.17 77.85) (width 0.8) (layer F.Cu) (net 8))
(segment (start 93.98 90.17) (end 93.98 78.04) (width 0.8) (layer F.Cu) (net 8))
(segment (start 60.96 78.04) (end 61.15 77.85) (width 0.8) (layer B.Cu) (net 9))
(segment (start 60.96 78.04) (end 61.15 77.85) (width 0.8) (layer F.Cu) (net 9))
(segment (start 60.96 90.17) (end 60.96 78.04) (width 0.8) (layer F.Cu) (net 9))
(segment (start 91.44 58.42) (end 105.74 58.42) (width 0.8) (layer B.Cu) (net 11))
(segment (start 106.68 59.36) (end 106.68 60.96) (width 0.8) (layer B.Cu) (net 11))
(segment (start 105.74 58.42) (end 106.68 59.36) (width 0.8) (layer B.Cu) (net 11))
(segment (start 91.44 58.42) (end 90.17 59.69) (width 0.8) (layer B.Cu) (net 11))
(segment (start 90.17 59.69) (end 90.17 60.85) (width 0.8) (layer B.Cu) (net 11))
(via (at 100.965 66.04) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12))
(segment (start 106.68 66.04) (end 100.965 66.04) (width 0.8) (layer B.Cu) (net 12))
(via (at 100.965 52.07) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12))
(segment (start 100.965 66.04) (end 100.965 52.07) (width 0.8) (layer F.Cu) (net 12))
(segment (start 81.28 52.705) (end 81.915 52.07) (width 0.8) (layer B.Cu) (net 12))
(segment (start 81.28 68.58) (end 81.28 52.705) (width 0.8) (layer B.Cu) (net 12))
(segment (start 84.42 69.85) (end 82.55 69.85) (width 0.8) (layer B.Cu) (net 12))
(segment (start 81.915 52.07) (end 100.965 52.07) (width 0.8) (layer B.Cu) (net 12))
(segment (start 82.55 69.85) (end 81.28 68.58) (width 0.8) (layer B.Cu) (net 12))
(segment (start 106.68 78.74) (end 99.06 78.74) (width 0.8) (layer B.Cu) (net 13))
(via (at 99.06 78.74) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13))
(segment (start 99.06 72.99) (end 95.92 69.85) (width 0.8) (layer F.Cu) (net 13))
(segment (start 99.06 78.74) (end 99.06 72.99) (width 0.8) (layer F.Cu) (net 13))
(segment (start 106.68 76.2) (end 104.68 76.2) (width 0.8) (layer B.Cu) (net 14))
(via (at 100.965 76.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14))
(segment (start 104.68 76.2) (end 100.965 76.2) (width 0.8) (layer B.Cu) (net 14))
(segment (start 100.965 70.395) (end 95.92 65.35) (width 0.8) (layer F.Cu) (net 14))
(segment (start 100.965 76.2) (end 100.965 70.395) (width 0.8) (layer F.Cu) (net 14))
(segment (start 95.895 74.35) (end 95.94 74.35) (width 0.8) (layer F.Cu) (net 15))
(segment (start 95.94 74.35) (end 97.155 75.565) (width 0.8) (layer F.Cu) (net 15))
(via (at 97.155 83.185) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15))
(segment (start 97.155 75.565) (end 97.155 83.185) (width 0.8) (layer F.Cu) (net 15))
(segment (start 116.84 77.47) (end 115.57 76.2) (width 0.8) (layer B.Cu) (net 15))
(segment (start 115.57 76.2) (end 114.3 76.2) (width 0.8) (layer B.Cu) (net 15))
(segment (start 116.84 80.01) (end 116.84 77.47) (width 0.8) (layer B.Cu) (net 15))
(segment (start 97.155 83.185) (end 113.665 83.185) (width 0.8) (layer B.Cu) (net 15))
(segment (start 113.665 83.185) (end 116.84 80.01) (width 0.8) (layer B.Cu) (net 15))
(via (at 99.06 63.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16))
(segment (start 106.68 63.5) (end 99.06 63.5) (width 0.8) (layer B.Cu) (net 16))
(via (at 99.06 50.165) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16))
(segment (start 99.06 63.5) (end 99.06 50.165) (width 0.8) (layer F.Cu) (net 16))
(segment (start 84.365 74.295) (end 84.42 74.35) (width 0.8) (layer B.Cu) (net 16))
(segment (start 79.375 69.305) (end 84.42 74.35) (width 0.8) (layer B.Cu) (net 16))
(segment (start 79.375 52.07) (end 79.375 69.305) (width 0.8) (layer B.Cu) (net 16))
(segment (start 99.06 50.165) (end 81.28 50.165) (width 0.8) (layer B.Cu) (net 16))
(segment (start 81.28 50.165) (end 79.375 52.07) (width 0.8) (layer B.Cu) (net 16))
(via (at 102.87 73.66) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 106.68 73.66) (end 102.87 73.66) (width 0.8) (layer B.Cu) (net 17))
(segment (start 102.87 73.66) (end 102.87 85.09) (width 0.8) (layer F.Cu) (net 17))
(via (at 102.87 85.09) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 81.28 85.09) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 102.87 85.09) (end 81.28 85.09) (width 0.8) (layer B.Cu) (net 17))
(segment (start 81.28 68.49) (end 84.42 65.35) (width 0.8) (layer F.Cu) (net 17))
(segment (start 81.28 85.09) (end 81.28 68.49) (width 0.8) (layer F.Cu) (net 17))
(via (at 95.25 55.88) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18))
(segment (start 94.17 61.85) (end 94.17 56.96) (width 0.8) (layer F.Cu) (net 18))
(segment (start 94.17 56.96) (end 95.25 55.88) (width 0.8) (layer F.Cu) (net 18))
(via (at 104.775 55.88) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 18))
(segment (start 95.25 55.88) (end 104.775 55.88) (width 0.8) (layer B.Cu) (net 18))
(segment (start 104.775 55.88) (end 113.665 55.88) (width 0.8) (layer F.Cu) (net 18))
(segment (start 114.3 56.515) (end 114.3 60.96) (width 0.8) (layer F.Cu) (net 18))
(segment (start 113.665 55.88) (end 114.3 56.515) (width 0.8) (layer F.Cu) (net 18))
(segment (start 106.68 71.12) (end 104.68 71.12) (width 0.8) (layer B.Cu) (net 19))
(via (at 102.87 71.12) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19))
(segment (start 104.68 71.12) (end 102.87 71.12) (width 0.8) (layer B.Cu) (net 19))
(via (at 102.87 53.975) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19))
(segment (start 102.87 71.12) (end 102.87 53.975) (width 0.8) (layer F.Cu) (net 19))
(segment (start 86.36 61.66) (end 86.17 61.85) (width 0.8) (layer F.Cu) (net 19))
(segment (start 86.17 56.07) (end 86.17 61.85) (width 0.8) (layer B.Cu) (net 19))
(segment (start 102.87 53.975) (end 88.265 53.975) (width 0.8) (layer B.Cu) (net 19))
(segment (start 88.265 53.975) (end 86.17 56.07) (width 0.8) (layer B.Cu) (net 19))
(segment (start 86.17 79.82) (end 86.17 77.85) (width 0.8) (layer B.Cu) (net 20))
(segment (start 87.63 81.28) (end 86.17 79.82) (width 0.8) (layer B.Cu) (net 20))
(segment (start 113.36 81.28) (end 87.63 81.28) (width 0.8) (layer B.Cu) (net 20))
(segment (start 114.3 78.74) (end 114.3 80.34) (width 0.8) (layer B.Cu) (net 20))
(segment (start 114.3 80.34) (end 113.36 81.28) (width 0.8) (layer B.Cu) (net 20))
(segment (start 57.15 60.85) (end 57.15 51.435) (width 0.8) (layer F.Cu) (net 22))
(via (at 57.150004 50.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22))
(segment (start 57.15 50.800004) (end 57.150004 50.8) (width 0.8) (layer F.Cu) (net 22))
(segment (start 57.15 51.435) (end 57.15 50.800004) (width 0.8) (layer F.Cu) (net 22))
(via (at 44.45 50.800014) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22))
(segment (start 44.450014 50.8) (end 44.45 50.800014) (width 0.8) (layer B.Cu) (net 22))
(segment (start 57.150004 50.8) (end 44.450014 50.8) (width 0.8) (layer B.Cu) (net 22))
(via (at 44.449996 78.74) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22))
(segment (start 44.45 50.800014) (end 44.45 78.739996) (width 0.8) (layer F.Cu) (net 22))
(segment (start 44.45 78.739996) (end 44.449996 78.74) (width 0.8) (layer F.Cu) (net 22))
(segment (start 39.37 78.74) (end 44.449996 78.74) (width 0.8) (layer B.Cu) (net 22))
(segment (start 47.59 73.66) (end 39.37 73.66) (width 0.8) (layer B.Cu) (net 23))
(segment (start 51.4 69.85) (end 47.59 73.66) (width 0.8) (layer B.Cu) (net 23))
(segment (start 46.99 60.96) (end 48.25998 60.96) (width 0.8) (layer B.Cu) (net 24))
(segment (start 39.37 60.96) (end 46.99 60.96) (width 0.8) (layer B.Cu) (net 24))
(via (at 48.25998 60.96) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24))
(via (at 48.260012 54.61) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24))
(segment (start 48.25998 54.610032) (end 48.260012 54.61) (width 0.8) (layer F.Cu) (net 24))
(segment (start 48.25998 60.96) (end 48.25998 54.610032) (width 0.8) (layer F.Cu) (net 24))
(segment (start 48.260012 54.61) (end 62.865 54.61) (width 0.8) (layer B.Cu) (net 24))
(segment (start 66.675 66.075) (end 62.9 69.85) (width 0.8) (layer B.Cu) (net 24))
(segment (start 66.675 58.42) (end 66.675 66.075) (width 0.8) (layer B.Cu) (net 24))
(segment (start 62.865 54.61) (end 66.675 58.42) (width 0.8) (layer B.Cu) (net 24))
(via (at 50.165 62.23) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25))
(segment (start 48.895 63.5) (end 50.165 62.23) (width 0.8) (layer B.Cu) (net 25))
(segment (start 39.37 63.5) (end 48.895 63.5) (width 0.8) (layer B.Cu) (net 25))
(via (at 50.165022 56.515) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25))
(segment (start 50.165 56.515022) (end 50.165022 56.515) (width 0.8) (layer F.Cu) (net 25))
(segment (start 50.165 62.23) (end 50.165 56.515022) (width 0.8) (layer F.Cu) (net 25))
(segment (start 50.165022 56.515) (end 62.23 56.515) (width 0.8) (layer B.Cu) (net 25))
(segment (start 64.199999 64.050001) (end 62.9 65.35) (width 0.8) (layer B.Cu) (net 25))
(segment (start 64.199999 58.484999) (end 64.199999 64.050001) (width 0.8) (layer B.Cu) (net 25))
(segment (start 62.23 56.515) (end 64.199999 58.484999) (width 0.8) (layer B.Cu) (net 25))
(via (at 40.64 46.99) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
(segment (start 61.595 46.99) (end 40.64 46.99) (width 0.8) (layer B.Cu) (net 26))
(segment (start 68.58 53.975) (end 61.595 46.99) (width 0.8) (layer B.Cu) (net 26))
(segment (start 62.875 74.35) (end 68.58 68.645) (width 0.8) (layer B.Cu) (net 26))
(segment (start 68.58 68.645) (end 68.58 53.975) (width 0.8) (layer B.Cu) (net 26))
(segment (start 40.64 46.99) (end 40.64 56.515) (width 0.8) (layer F.Cu) (net 26))
(via (at 40.64 56.515) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26))
(segment (start 31.75 63.5) (end 32.15 63.5) (width 0.8) (layer B.Cu) (net 26))
(segment (start 29.21 63.5) (end 31.75 63.5) (width 0.8) (layer B.Cu) (net 26))
(segment (start 28.575 62.865) (end 29.21 63.5) (width 0.8) (layer B.Cu) (net 26))
(segment (start 28.575 57.15) (end 28.575 62.865) (width 0.8) (layer B.Cu) (net 26))
(segment (start 40.64 56.515) (end 29.21 56.515) (width 0.8) (layer B.Cu) (net 26))
(segment (start 29.21 56.515) (end 28.575 57.15) (width 0.8) (layer B.Cu) (net 26))
(segment (start 49.55 76.2) (end 51.4 74.35) (width 0.8) (layer B.Cu) (net 27))
(segment (start 39.37 76.2) (end 49.55 76.2) (width 0.8) (layer B.Cu) (net 27))
(segment (start 50.71 66.04) (end 51.4 65.35) (width 0.8) (layer B.Cu) (net 28))
(segment (start 39.37 66.04) (end 50.71 66.04) (width 0.8) (layer B.Cu) (net 28))
(segment (start 31.75 78.74) (end 31.75 81.28) (width 0.8) (layer B.Cu) (net 29))
(segment (start 31.75 81.28) (end 32.385 81.915) (width 0.8) (layer B.Cu) (net 29))
(via (at 42.545 81.915) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
(segment (start 32.385 81.915) (end 42.545 81.915) (width 0.8) (layer B.Cu) (net 29))
(via (at 42.545 48.895) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
(segment (start 42.545 81.915) (end 42.545 48.895) (width 0.8) (layer F.Cu) (net 29))
(via (at 60.96 48.895) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
(segment (start 42.545 48.895) (end 56.659231 48.895) (width 0.8) (layer B.Cu) (net 29))
(segment (start 56.659231 48.895) (end 60.96 48.895) (width 0.8) (layer B.Cu) (net 29))
(via (at 61.15 61.85) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 29))
(segment (start 60.96 48.895) (end 60.96 61.66) (width 0.8) (layer F.Cu) (net 29))
(segment (start 60.96 61.66) (end 61.15 61.85) (width 0.8) (layer F.Cu) (net 29))
(segment (start 39.37 68.58) (end 39.77 68.58) (width 0.8) (layer F.Cu) (net 30))
(via (at 53.34 52.705) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30))
(segment (start 53.34 61.66) (end 53.15 61.85) (width 0.8) (layer F.Cu) (net 30))
(segment (start 53.34 52.705) (end 53.34 61.66) (width 0.8) (layer F.Cu) (net 30))
(via (at 46.354986 68.58) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30))
(segment (start 39.37 68.58) (end 46.354986 68.58) (width 0.8) (layer B.Cu) (net 30))
(via (at 46.35499 52.705) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 30))
(segment (start 53.34 52.705) (end 46.35499 52.705) (width 0.8) (layer B.Cu) (net 30))
(segment (start 46.354986 52.705004) (end 46.35499 52.705) (width 0.8) (layer F.Cu) (net 30))
(segment (start 46.354986 68.58) (end 46.354986 52.705004) (width 0.8) (layer F.Cu) (net 30))
(via (at 38.735 45.085) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 31))
(segment (start 31.75 59.36) (end 32.69 58.42) (width 0.8) (layer F.Cu) (net 31))
(segment (start 31.75 60.96) (end 31.75 59.36) (width 0.8) (layer F.Cu) (net 31))
(segment (start 32.69 58.42) (end 38.1 58.42) (width 0.8) (layer F.Cu) (net 31))
(segment (start 38.735 57.785) (end 38.735 45.085) (width 0.8) (layer F.Cu) (net 31))
(segment (start 38.1 58.42) (end 38.735 57.785) (width 0.8) (layer F.Cu) (net 31))
(segment (start 53.15 81.09) (end 53.15 77.85) (width 0.8) (layer B.Cu) (net 31))
(segment (start 53.975 81.915) (end 53.15 81.09) (width 0.8) (layer B.Cu) (net 31))
(segment (start 38.735 45.085) (end 62.23 45.085) (width 0.8) (layer B.Cu) (net 31))
(segment (start 62.23 45.085) (end 70.485 53.34) (width 0.8) (layer B.Cu) (net 31))
(segment (start 61.595 81.915) (end 53.975 81.915) (width 0.8) (layer B.Cu) (net 31))
(segment (start 70.485 53.34) (end 70.485 73.025) (width 0.8) (layer B.Cu) (net 31))
(segment (start 70.485 73.025) (end 61.595 81.915) (width 0.8) (layer B.Cu) (net 31))
(segment (start 114.3 63.5) (end 113.9 63.5) (width 0.8) (layer F.Cu) (net 32))
(via (at 109.855 100.33) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 32))
(segment (start 82.55 100.33) (end 109.855 100.33) (width 0.8) (layer B.Cu) (net 32))
(segment (start 109.855 100.33) (end 109.855 64.135) (width 0.8) (layer F.Cu) (net 32))
(segment (start 110.49 63.5) (end 114.3 63.5) (width 0.8) (layer F.Cu) (net 32))
(segment (start 109.855 64.135) (end 110.49 63.5) (width 0.8) (layer F.Cu) (net 32))
(segment (start 80.01 100.33) (end 82.55 97.79) (width 0.8) (layer B.Cu) (net 33))
(segment (start 82.55 97.79) (end 111.194315 97.79) (width 0.8) (layer B.Cu) (net 33))
(segment (start 111.194315 97.79) (end 111.76 97.79) (width 0.8) (layer B.Cu) (net 33))
(via (at 111.76 97.79) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33))
(segment (start 114.3 66.04) (end 112.395 66.04) (width 0.8) (layer F.Cu) (net 33))
(segment (start 112.395 66.04) (end 111.76 66.675) (width 0.8) (layer F.Cu) (net 33))
(segment (start 111.76 66.675) (end 111.76 97.79) (width 0.8) (layer F.Cu) (net 33))
(segment (start 79.375 95.885) (end 77.47 97.79) (width 0.8) (layer B.Cu) (net 34))
(segment (start 77.47 97.79) (end 77.47 100.33) (width 0.8) (layer B.Cu) (net 34))
(segment (start 116.3 73.66) (end 118.745 76.105) (width 0.8) (layer B.Cu) (net 34))
(segment (start 118.745 76.105) (end 118.745 94.615) (width 0.8) (layer B.Cu) (net 34))
(segment (start 118.745 94.615) (end 117.475 95.885) (width 0.8) (layer B.Cu) (net 34))
(segment (start 114.3 73.66) (end 116.3 73.66) (width 0.8) (layer B.Cu) (net 34))
(segment (start 117.475 95.885) (end 79.375 95.885) (width 0.8) (layer B.Cu) (net 34))
(segment (start 31.75 68.58) (end 33.655 68.58) (width 0.8) (layer F.Cu) (net 35))
(segment (start 33.655 68.58) (end 34.29 69.215) (width 0.8) (layer F.Cu) (net 35))
(via (at 34.29 95.885) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35))
(segment (start 34.29 69.215) (end 34.29 95.885) (width 0.8) (layer F.Cu) (net 35))
(segment (start 74.93 96.52) (end 74.93 100.33) (width 0.8) (layer B.Cu) (net 35))
(segment (start 34.29 95.885) (end 74.295 95.885) (width 0.8) (layer B.Cu) (net 35))
(segment (start 74.295 95.885) (end 74.93 96.52) (width 0.8) (layer B.Cu) (net 35))
(via (at 72.39 93.98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36))
(segment (start 72.39 93.98) (end 72.39 100.33) (width 0.8) (layer F.Cu) (net 36))
(via (at 29.845 93.980006) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36))
(segment (start 29.75 77.8) (end 29.75 93.885006) (width 0.8) (layer F.Cu) (net 36))
(segment (start 29.845006 93.98) (end 29.845 93.980006) (width 0.8) (layer B.Cu) (net 36))
(segment (start 31.35 76.2) (end 29.75 77.8) (width 0.8) (layer F.Cu) (net 36))
(segment (start 31.75 76.2) (end 31.35 76.2) (width 0.8) (layer F.Cu) (net 36))
(segment (start 32.38498 93.98) (end 29.845006 93.98) (width 0.8) (layer B.Cu) (net 36))
(segment (start 72.39 93.98) (end 32.38498 93.98) (width 0.8) (layer B.Cu) (net 36))
(segment (start 29.75 93.885006) (end 29.845 93.980006) (width 0.8) (layer F.Cu) (net 36))
(segment (start 27.94 77.07) (end 27.94 91.509315) (width 0.8) (layer F.Cu) (net 37))
(segment (start 27.94 91.509315) (end 27.94 92.075) (width 0.8) (layer F.Cu) (net 37))
(segment (start 31.75 73.66) (end 31.35 73.66) (width 0.8) (layer F.Cu) (net 37))
(via (at 27.94 92.075) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37))
(segment (start 31.35 73.66) (end 27.94 77.07) (width 0.8) (layer F.Cu) (net 37))
(via (at 58.42 92.075) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37))
(segment (start 27.94 92.075) (end 58.42 92.075) (width 0.8) (layer B.Cu) (net 37))
(segment (start 58.42 96.52) (end 58.42 92.075) (width 0.8) (layer F.Cu) (net 37))
(segment (start 59.69 97.79) (end 58.42 96.52) (width 0.8) (layer F.Cu) (net 37))
(segment (start 68.58 97.79) (end 59.69 97.79) (width 0.8) (layer F.Cu) (net 37))
(segment (start 69.85 100.33) (end 69.85 99.06) (width 0.8) (layer F.Cu) (net 37))
(segment (start 69.85 99.06) (end 68.58 97.79) (width 0.8) (layer F.Cu) (net 37))
(segment (start 33.75 66.04) (end 36.195 68.485) (width 0.8) (layer F.Cu) (net 38))
(segment (start 31.75 66.04) (end 33.75 66.04) (width 0.8) (layer F.Cu) (net 38))
(segment (start 36.195 68.485) (end 36.195 73.025) (width 0.8) (layer F.Cu) (net 38))
(via (at 36.195 97.79) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 38))
(segment (start 36.195 73.025) (end 36.195 97.79) (width 0.8) (layer F.Cu) (net 38))
(segment (start 67.31 98.425) (end 67.31 100.33) (width 0.8) (layer B.Cu) (net 38))
(segment (start 36.195 97.79) (end 66.675 97.79) (width 0.8) (layer B.Cu) (net 38))
(segment (start 66.675 97.79) (end 67.31 98.425) (width 0.8) (layer B.Cu) (net 38))
(segment (start 81.28 110.49) (end 80.01 109.22) (width 0.8) (layer F.Cu) (net 39) (tstamp 58B1C273))
(segment (start 80.01 109.22) (end 80.01 107.95) (width 0.8) (layer F.Cu) (net 39) (tstamp 58B2E641) (status 20))
(segment (start 114.3 71.12) (end 114.7 71.12) (width 0.8) (layer F.Cu) (net 39))
(segment (start 114.7 71.12) (end 115.57 71.12) (width 0.8) (layer F.Cu) (net 39))
(segment (start 115.57 71.12) (end 116.84 72.39) (width 0.8) (layer F.Cu) (net 39))
(segment (start 116.84 72.39) (end 116.84 93.98) (width 0.8) (layer F.Cu) (net 39))
(via (at 116.84 93.98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 39))
(segment (start 81.28 110.49) (end 106.045 110.49) (width 0.8) (layer F.Cu) (net 39))
(segment (start 106.045 110.49) (end 107.95 108.585) (width 0.8) (layer F.Cu) (net 39))
(via (at 107.95 93.98) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 39))
(segment (start 107.95 93.98) (end 116.84 93.98) (width 0.8) (layer B.Cu) (net 39))
(segment (start 107.95 108.585) (end 107.95 93.98) (width 0.8) (layer F.Cu) (net 39))
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 58B1C770) (hatch edge 0.508)
(connect_pads (clearance 1))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 1))
(polygon
(pts
(xy 120.65 119.38) (xy 22.86 119.38) (xy 22.86 38.1) (xy 120.65 38.1)
)
)
(filled_polygon
(pts
(xy 26.451924 39.682937) (xy 25.712937 40.421924) (xy 25.313 41.387457) (xy 25.313 42.432543) (xy 25.712937 43.398076)
(xy 26.451924 44.137063) (xy 27.417457 44.537) (xy 28.462543 44.537) (xy 29.428076 44.137063) (xy 29.778139 43.787)
(xy 37.878406 43.787) (xy 37.870024 43.790472) (xy 37.761592 43.898904) (xy 37.634095 43.984095) (xy 37.548904 44.111592)
(xy 37.440472 44.220024) (xy 37.381789 44.361696) (xy 37.296598 44.489194) (xy 37.266683 44.639588) (xy 37.208 44.781261)
(xy 37.208 44.934607) (xy 37.178085 45.085) (xy 37.208 45.235393) (xy 37.208 45.388739) (xy 37.266683 45.530412)
(xy 37.296598 45.680806) (xy 37.381789 45.808304) (xy 37.440472 45.949976) (xy 37.548904 46.058408) (xy 37.634095 46.185905)
(xy 37.761592 46.271096) (xy 37.870024 46.379528) (xy 38.011696 46.438211) (xy 38.139194 46.523402) (xy 38.289588 46.553317)
(xy 38.431261 46.612) (xy 39.14376 46.612) (xy 39.113 46.686261) (xy 39.113 46.839607) (xy 39.083085 46.99)
(xy 39.113 47.140393) (xy 39.113 47.293739) (xy 39.171683 47.435412) (xy 39.201598 47.585806) (xy 39.286789 47.713304)
(xy 39.345472 47.854976) (xy 39.453904 47.963408) (xy 39.539095 48.090905) (xy 39.666592 48.176096) (xy 39.775024 48.284528)
(xy 39.916696 48.343211) (xy 40.044194 48.428402) (xy 40.194588 48.458317) (xy 40.336261 48.517) (xy 41.04876 48.517)
(xy 41.018 48.591261) (xy 41.018 48.744607) (xy 40.988085 48.895) (xy 41.018 49.045393) (xy 41.018 49.198739)
(xy 41.076683 49.340412) (xy 41.106598 49.490806) (xy 41.191789 49.618304) (xy 41.250472 49.759976) (xy 41.358904 49.868408)
(xy 41.444095 49.995905) (xy 41.571592 50.081096) (xy 41.680024 50.189528) (xy 41.821696 50.248211) (xy 41.949194 50.333402)
(xy 42.099588 50.363317) (xy 42.241261 50.422) (xy 42.953766 50.422) (xy 42.923 50.496275) (xy 42.923 50.649625)
(xy 42.893086 50.800014) (xy 42.923 50.950403) (xy 42.923 51.103753) (xy 42.981684 51.245428) (xy 43.011598 51.395819)
(xy 43.096789 51.523316) (xy 43.155472 51.66499) (xy 43.263905 51.773423) (xy 43.349095 51.900919) (xy 43.476591 51.986109)
(xy 43.585024 52.094542) (xy 43.726698 52.153225) (xy 43.854195 52.238416) (xy 44.004586 52.26833) (xy 44.146261 52.327014)
(xy 44.299611 52.327014) (xy 44.45 52.356928) (xy 44.600389 52.327014) (xy 44.753739 52.327014) (xy 44.753773 52.327)
(xy 44.85875 52.327) (xy 44.82799 52.401261) (xy 44.82799 52.554607) (xy 44.798075 52.705) (xy 44.82799 52.855393)
(xy 44.82799 53.008739) (xy 44.886673 53.150412) (xy 44.916588 53.300806) (xy 45.001779 53.428304) (xy 45.060462 53.569976)
(xy 45.168894 53.678408) (xy 45.254085 53.805905) (xy 45.381581 53.891095) (xy 45.490014 53.999528) (xy 45.631686 54.058211)
(xy 45.759184 54.143402) (xy 45.909578 54.173317) (xy 46.051251 54.232) (xy 46.763772 54.232) (xy 46.733012 54.306261)
(xy 46.733012 54.459607) (xy 46.703097 54.61) (xy 46.733012 54.760393) (xy 46.733012 54.913739) (xy 46.791695 55.055412)
(xy 46.82161 55.205806) (xy 46.906801 55.333304) (xy 46.965484 55.474976) (xy 47.073916 55.583408) (xy 47.159107 55.710905)
(xy 47.286604 55.796096) (xy 47.395036 55.904528) (xy 47.536708 55.963211) (xy 47.664206 56.048402) (xy 47.8146 56.078317)
(xy 47.956273 56.137) (xy 48.668782 56.137) (xy 48.638022 56.211261) (xy 48.638022 56.364607) (xy 48.608107 56.515)
(xy 48.638022 56.665393) (xy 48.638022 56.818739) (xy 48.696705 56.960412) (xy 48.72662 57.110806) (xy 48.811811 57.238304)
(xy 48.870494 57.379976) (xy 48.978925 57.488407) (xy 49.064117 57.615905) (xy 49.191614 57.701096) (xy 49.300046 57.809528)
(xy 49.441718 57.868211) (xy 49.569216 57.953402) (xy 49.71961 57.983317) (xy 49.861283 58.042) (xy 61.597497 58.042)
(xy 62.672999 59.117503) (xy 62.672999 59.940703) (xy 62.524785 59.792489) (xy 61.63276 59.423) (xy 60.66724 59.423)
(xy 59.775215 59.792489) (xy 59.466715 60.100989) (xy 59.207511 59.475215) (xy 58.524785 58.792489) (xy 57.63276 58.423)
(xy 56.66724 58.423) (xy 55.775215 58.792489) (xy 55.092489 59.475215) (xy 54.833285 60.100989) (xy 54.524785 59.792489)
(xy 53.63276 59.423) (xy 52.66724 59.423) (xy 51.775215 59.792489) (xy 51.092489 60.475215) (xy 50.920609 60.890171)
(xy 50.8883 60.876788) (xy 50.760805 60.791598) (xy 50.610414 60.761684) (xy 50.468739 60.703) (xy 50.315388 60.703)
(xy 50.164999 60.673086) (xy 50.01461 60.703) (xy 49.861261 60.703) (xy 49.78698 60.733768) (xy 49.78698 60.656261)
(xy 49.728297 60.514588) (xy 49.698382 60.364194) (xy 49.613191 60.236696) (xy 49.554508 60.095024) (xy 49.446076 59.986592)
(xy 49.360885 59.859095) (xy 49.233388 59.773904) (xy 49.124956 59.665472) (xy 48.983284 59.606789) (xy 48.855786 59.521598)
(xy 48.705392 59.491683) (xy 48.563719 59.433) (xy 40.95319 59.433) (xy 40.521878 59.144806) (xy 39.959792 59.033)
(xy 38.780208 59.033) (xy 38.218122 59.144806) (xy 37.580711 59.570711) (xy 37.154806 60.208122) (xy 37.005248 60.96)
(xy 37.154806 61.711878) (xy 37.501005 62.23) (xy 37.154806 62.748122) (xy 37.005248 63.5) (xy 37.154806 64.251878)
(xy 37.501005 64.77) (xy 37.154806 65.288122) (xy 37.005248 66.04) (xy 37.154806 66.791878) (xy 37.501005 67.31)
(xy 37.154806 67.828122) (xy 37.005248 68.58) (xy 37.154806 69.331878) (xy 37.580711 69.969289) (xy 37.900416 70.182909)
(xy 37.822098 70.252626) (xy 37.659735 70.534825) (xy 37.749473 70.747) (xy 38.997 70.747) (xy 38.997 70.727)
(xy 39.743 70.727) (xy 39.743 70.747) (xy 40.990527 70.747) (xy 41.080265 70.534825) (xy 40.917902 70.252626)
(xy 40.839584 70.182909) (xy 40.95319 70.107) (xy 46.658725 70.107) (xy 46.800398 70.048317) (xy 46.950792 70.018402)
(xy 47.07829 69.933211) (xy 47.219962 69.874528) (xy 47.328394 69.766096) (xy 47.455891 69.680905) (xy 47.541082 69.553408)
(xy 47.649514 69.444976) (xy 47.708197 69.303304) (xy 47.793388 69.175806) (xy 47.823303 69.025412) (xy 47.881986 68.883739)
(xy 47.881986 68.730392) (xy 47.911901 68.58) (xy 47.881986 68.429607) (xy 47.881986 68.276261) (xy 47.823303 68.134588)
(xy 47.793388 67.984194) (xy 47.708197 67.856696) (xy 47.649514 67.715024) (xy 47.541082 67.606592) (xy 47.514627 67.567)
(xy 50.410255 67.567) (xy 50.489924 67.6) (xy 50.025215 67.792489) (xy 49.342489 68.475215) (xy 48.973 69.36724)
(xy 48.973 70.117496) (xy 46.957497 72.133) (xy 40.95319 72.133) (xy 40.839584 72.057091) (xy 40.917902 71.987374)
(xy 41.080265 71.705175) (xy 40.990527 71.493) (xy 39.743 71.493) (xy 39.743 71.513) (xy 38.997 71.513)
(xy 38.997 71.493) (xy 37.749473 71.493) (xy 37.659735 71.705175) (xy 37.822098 71.987374) (xy 37.900416 72.057091)
(xy 37.580711 72.270711) (xy 37.154806 72.908122) (xy 37.005248 73.66) (xy 37.154806 74.411878) (xy 37.501005 74.93)
(xy 37.154806 75.448122) (xy 37.005248 76.2) (xy 37.154806 76.951878) (xy 37.501005 77.47) (xy 37.154806 77.988122)
(xy 37.005248 78.74) (xy 37.154806 79.491878) (xy 37.580711 80.129289) (xy 37.967899 80.388) (xy 33.277 80.388)
(xy 33.277 80.304545) (xy 33.539289 80.129289) (xy 33.965194 79.491878) (xy 34.114752 78.74) (xy 33.965194 77.988122)
(xy 33.618995 77.47) (xy 33.965194 76.951878) (xy 34.114752 76.2) (xy 33.965194 75.448122) (xy 33.618995 74.93)
(xy 33.965194 74.411878) (xy 34.114752 73.66) (xy 33.965194 72.908122) (xy 33.618995 72.39) (xy 33.965194 71.871878)
(xy 34.114752 71.12) (xy 33.965194 70.368122) (xy 33.618995 69.85) (xy 33.965194 69.331878) (xy 34.114752 68.58)
(xy 33.965194 67.828122) (xy 33.618995 67.31) (xy 33.965194 66.791878) (xy 34.114752 66.04) (xy 33.965194 65.288122)
(xy 33.618995 64.77) (xy 33.965194 64.251878) (xy 34.114752 63.5) (xy 33.965194 62.748122) (xy 33.805192 62.508662)
(xy 34.011611 62.199733) (xy 34.099079 61.76) (xy 34.099079 60.16) (xy 34.011611 59.720267) (xy 33.762522 59.347478)
(xy 33.389733 59.098389) (xy 32.95 59.010921) (xy 30.55 59.010921) (xy 30.110267 59.098389) (xy 30.102 59.103913)
(xy 30.102 58.042) (xy 40.943739 58.042) (xy 41.085412 57.983317) (xy 41.235806 57.953402) (xy 41.363304 57.868211)
(xy 41.504976 57.809528) (xy 41.613408 57.701096) (xy 41.740905 57.615905) (xy 41.826097 57.488407) (xy 41.934528 57.379976)
(xy 41.993211 57.238304) (xy 42.078402 57.110806) (xy 42.108317 56.960412) (xy 42.167 56.818739) (xy 42.167 56.665393)
(xy 42.196915 56.515) (xy 42.167 56.364607) (xy 42.167 56.211261) (xy 42.108317 56.069588) (xy 42.078402 55.919194)
(xy 41.993211 55.791696) (xy 41.934528 55.650024) (xy 41.826096 55.541592) (xy 41.740905 55.414095) (xy 41.613408 55.328904)
(xy 41.504976 55.220472) (xy 41.363304 55.161789) (xy 41.235806 55.076598) (xy 41.085412 55.046683) (xy 40.943739 54.988)
(xy 29.360393 54.988) (xy 29.21 54.958085) (xy 29.059607 54.988) (xy 28.614194 55.076598) (xy 28.109095 55.414095)
(xy 28.023902 55.541595) (xy 27.601595 55.963902) (xy 27.474095 56.049095) (xy 27.136598 56.554195) (xy 27.048 56.999608)
(xy 27.048 56.999611) (xy 27.018086 57.15) (xy 27.048 57.300389) (xy 27.048001 62.714606) (xy 27.018086 62.865)
(xy 27.136598 63.460805) (xy 27.33451 63.757) (xy 27.474096 63.965905) (xy 27.601593 64.051096) (xy 28.023902 64.473405)
(xy 28.109095 64.600905) (xy 28.605273 64.932441) (xy 28.614194 64.938402) (xy 29.21 65.056915) (xy 29.360393 65.027)
(xy 29.709282 65.027) (xy 29.534806 65.288122) (xy 29.385248 66.04) (xy 29.534806 66.791878) (xy 29.881005 67.31)
(xy 29.534806 67.828122) (xy 29.393247 68.539785) (xy 29.303816 68.090183) (xy 29.087559 67.999942) (xy 28.467502 68.62)
(xy 28.481644 68.634142) (xy 27.954142 69.161644) (xy 27.94 69.147502) (xy 27.925858 69.161644) (xy 27.398356 68.634142)
(xy 27.412498 68.62) (xy 26.792441 67.999942) (xy 26.576184 68.090183) (xy 26.477246 68.652422) (xy 26.576184 69.149817)
(xy 26.792439 69.240057) (xy 26.64343 69.389066) (xy 26.794587 69.540223) (xy 26.306368 70.028442) (xy 26.013 70.736696)
(xy 26.013 71.503304) (xy 26.306368 72.211558) (xy 26.413 72.31819) (xy 26.413001 88.902495) (xy 25.061594 90.253903)
(xy 24.934095 90.339095) (xy 24.596598 90.844195) (xy 24.508 91.289608) (xy 24.508 91.289611) (xy 24.478086 91.44)
(xy 24.508 91.590389) (xy 24.508 92.559611) (xy 24.478086 92.71) (xy 24.508 92.860389) (xy 24.508 92.860393)
(xy 24.596598 93.305806) (xy 24.934096 93.810905) (xy 25.061592 93.896095) (xy 26.413 95.247505) (xy 26.413001 97.686292)
(xy 26.000267 97.768389) (xy 25.627478 98.017478) (xy 25.378389 98.390267) (xy 25.290921 98.83) (xy 25.290921 101.83)
(xy 25.378389 102.269733) (xy 25.627478 102.642522) (xy 26.000267 102.891611) (xy 26.44 102.979079) (xy 26.944081 102.979079)
(xy 26.451924 103.182937) (xy 25.712937 103.921924) (xy 25.313 104.887457) (xy 25.313 105.932543) (xy 25.712937 106.898076)
(xy 26.451924 107.637063) (xy 27.20742 107.95) (xy 26.451924 108.262937) (xy 25.712937 109.001924) (xy 25.313 109.967457)
(xy 25.313 111.012543) (xy 25.712937 111.978076) (xy 26.451924 112.717063) (xy 27.20742 113.03) (xy 26.451924 113.342937)
(xy 25.712937 114.081924) (xy 25.313 115.047457) (xy 25.313 116.092543) (xy 25.712937 117.058076) (xy 26.451924 117.797063)
(xy 27.371587 118.178) (xy 24.062 118.178) (xy 24.062 67.472441) (xy 27.319942 67.472441) (xy 27.94 68.092498)
(xy 28.560058 67.472441) (xy 28.469817 67.256184) (xy 27.907578 67.157246) (xy 27.410183 67.256184) (xy 27.319942 67.472441)
(xy 24.062 67.472441) (xy 24.062 47.52175) (xy 25.805 47.52175) (xy 25.805 48.616309) (xy 25.901673 48.849698)
(xy 26.080301 49.028327) (xy 26.31369 49.125) (xy 27.40825 49.125) (xy 27.567 48.96625) (xy 27.567 47.363)
(xy 28.313 47.363) (xy 28.313 48.96625) (xy 28.47175 49.125) (xy 29.56631 49.125) (xy 29.799699 49.028327)
(xy 29.978327 48.849698) (xy 30.075 48.616309) (xy 30.075 47.52175) (xy 29.91625 47.363) (xy 28.313 47.363)
(xy 27.567 47.363) (xy 25.96375 47.363) (xy 25.805 47.52175) (xy 24.062 47.52175) (xy 24.062 45.363691)
(xy 25.805 45.363691) (xy 25.805 46.45825) (xy 25.96375 46.617) (xy 27.567 46.617) (xy 27.567 45.01375)
(xy 28.313 45.01375) (xy 28.313 46.617) (xy 29.91625 46.617) (xy 30.075 46.45825) (xy 30.075 45.363691)
(xy 29.978327 45.130302) (xy 29.799699 44.951673) (xy 29.56631 44.855) (xy 28.47175 44.855) (xy 28.313 45.01375)
(xy 27.567 45.01375) (xy 27.40825 44.855) (xy 26.31369 44.855) (xy 26.080301 44.951673) (xy 25.901673 45.130302)
(xy 25.805 45.363691) (xy 24.062 45.363691) (xy 24.062 39.302) (xy 27.371587 39.302)
)
)
(filled_polygon
(pts
(xy 29.541261 95.507006) (xy 29.694611 95.507006) (xy 29.845 95.53692) (xy 29.995389 95.507006) (xy 30.148739 95.507006)
(xy 30.148753 95.507) (xy 32.79376 95.507) (xy 32.763 95.581261) (xy 32.763 95.734607) (xy 32.733085 95.885)
(xy 32.763 96.035393) (xy 32.763 96.188739) (xy 32.821683 96.330412) (xy 32.851598 96.480806) (xy 32.936789 96.608304)
(xy 32.995472 96.749976) (xy 33.103904 96.858408) (xy 33.189095 96.985905) (xy 33.316591 97.071095) (xy 33.425024 97.179528)
(xy 33.566696 97.238211) (xy 33.694194 97.323402) (xy 33.844588 97.353317) (xy 33.986261 97.412) (xy 34.69876 97.412)
(xy 34.668 97.486261) (xy 34.668 97.639607) (xy 34.638085 97.79) (xy 34.668 97.940393) (xy 34.668 98.093739)
(xy 34.726683 98.235412) (xy 34.756598 98.385806) (xy 34.841789 98.513304) (xy 34.900472 98.654976) (xy 35.008904 98.763408)
(xy 35.094095 98.890905) (xy 35.221591 98.976095) (xy 35.330024 99.084528) (xy 35.471696 99.143211) (xy 35.599194 99.228402)
(xy 35.749588 99.258317) (xy 35.891261 99.317) (xy 63.762793 99.317) (xy 63.459704 99.74482) (xy 63.543044 99.957)
(xy 64.397 99.957) (xy 64.397 99.937) (xy 65.143 99.937) (xy 65.143 99.957) (xy 65.163 99.957)
(xy 65.163 100.703) (xy 65.143 100.703) (xy 65.143 101.550527) (xy 65.355175 101.640265) (xy 65.709663 101.403434)
(xy 65.920711 101.719289) (xy 66.558122 102.145194) (xy 67.120208 102.257) (xy 67.499792 102.257) (xy 68.061878 102.145194)
(xy 68.58 101.798995) (xy 69.098122 102.145194) (xy 69.660208 102.257) (xy 70.039792 102.257) (xy 70.601878 102.145194)
(xy 71.12 101.798995) (xy 71.638122 102.145194) (xy 72.200208 102.257) (xy 72.579792 102.257) (xy 73.141878 102.145194)
(xy 73.66 101.798995) (xy 74.178122 102.145194) (xy 74.740208 102.257) (xy 75.119792 102.257) (xy 75.681878 102.145194)
(xy 76.2 101.798995) (xy 76.718122 102.145194) (xy 77.280208 102.257) (xy 77.659792 102.257) (xy 78.221878 102.145194)
(xy 78.74 101.798995) (xy 79.258122 102.145194) (xy 79.820208 102.257) (xy 80.199792 102.257) (xy 80.761878 102.145194)
(xy 81.001338 101.985192) (xy 81.310267 102.191611) (xy 81.75 102.279079) (xy 83.35 102.279079) (xy 83.789733 102.191611)
(xy 84.162522 101.942522) (xy 84.219666 101.857) (xy 85.307364 101.857) (xy 85.06343 102.100934) (xy 85.212439 102.249943)
(xy 84.996184 102.340183) (xy 84.897246 102.902422) (xy 84.996184 103.399817) (xy 85.212439 103.490057) (xy 85.06343 103.639066)
(xy 85.214587 103.790223) (xy 84.726368 104.278442) (xy 84.433 104.986696) (xy 84.433 105.753304) (xy 84.726368 106.461558)
(xy 85.268442 107.003632) (xy 85.976696 107.297) (xy 86.743304 107.297) (xy 87.451558 107.003632) (xy 87.55819 106.897)
(xy 110.379611 106.897) (xy 110.53 106.926914) (xy 110.680389 106.897) (xy 110.680393 106.897) (xy 111.125806 106.808402)
(xy 111.630905 106.470905) (xy 111.716098 106.343405) (xy 112.943 105.116503) (xy 112.943 105.877496) (xy 109.857497 108.963)
(xy 84.190718 108.963) (xy 84.365194 108.701878) (xy 84.514752 107.95) (xy 84.365194 107.198122) (xy 83.939289 106.560711)
(xy 83.301878 106.134806) (xy 82.739792 106.023) (xy 82.360208 106.023) (xy 81.798122 106.134806) (xy 81.28 106.481005)
(xy 80.761878 106.134806) (xy 80.199792 106.023) (xy 79.820208 106.023) (xy 79.258122 106.134806) (xy 78.74 106.481005)
(xy 78.221878 106.134806) (xy 77.659792 106.023) (xy 77.280208 106.023) (xy 76.718122 106.134806) (xy 76.080711 106.560711)
(xy 75.869663 106.876566) (xy 75.515175 106.639735) (xy 75.303 106.729473) (xy 75.303 107.577) (xy 75.323 107.577)
(xy 75.323 108.323) (xy 75.303 108.323) (xy 75.303 108.343) (xy 74.557 108.343) (xy 74.557 108.323)
(xy 74.537 108.323) (xy 74.537 107.577) (xy 74.557 107.577) (xy 74.557 106.729473) (xy 74.344825 106.639735)
(xy 73.990337 106.876566) (xy 73.779289 106.560711) (xy 73.141878 106.134806) (xy 72.579792 106.023) (xy 72.200208 106.023)
(xy 71.638122 106.134806) (xy 71.12 106.481005) (xy 70.601878 106.134806) (xy 70.039792 106.023) (xy 69.660208 106.023)
(xy 69.098122 106.134806) (xy 68.58 106.481005) (xy 68.061878 106.134806) (xy 67.499792 106.023) (xy 67.120208 106.023)
(xy 66.558122 106.134806) (xy 66.04 106.481005) (xy 65.521878 106.134806) (xy 64.959792 106.023) (xy 64.580208 106.023)
(xy 64.018122 106.134806) (xy 63.380711 106.560711) (xy 62.954806 107.198122) (xy 62.805248 107.95) (xy 62.954806 108.701878)
(xy 63.243001 109.133191) (xy 63.243 114.784611) (xy 63.213086 114.935) (xy 63.243 115.085389) (xy 63.243 115.085392)
(xy 63.331598 115.530805) (xy 63.669095 116.035905) (xy 63.796595 116.121098) (xy 64.218902 116.543405) (xy 64.304095 116.670905)
(xy 64.809194 117.008402) (xy 65.405 117.126915) (xy 65.555393 117.097) (xy 113.381861 117.097) (xy 114.081924 117.797063)
(xy 115.001587 118.178) (xy 28.508413 118.178) (xy 29.428076 117.797063) (xy 30.167063 117.058076) (xy 30.567 116.092543)
(xy 30.567 115.047457) (xy 30.167063 114.081924) (xy 29.428076 113.342937) (xy 28.67258 113.03) (xy 29.428076 112.717063)
(xy 30.167063 111.978076) (xy 30.567 111.012543) (xy 30.567 109.967457) (xy 30.167063 109.001924) (xy 29.428076 108.262937)
(xy 28.67258 107.95) (xy 29.428076 107.637063) (xy 30.167063 106.898076) (xy 30.567 105.932543) (xy 30.567 104.887457)
(xy 30.167063 103.921924) (xy 29.428076 103.182937) (xy 28.935919 102.979079) (xy 29.44 102.979079) (xy 29.879733 102.891611)
(xy 30.252522 102.642522) (xy 30.501611 102.269733) (xy 30.589079 101.83) (xy 30.589079 100.91518) (xy 63.459704 100.91518)
(xy 63.783383 101.372064) (xy 64.184825 101.640265) (xy 64.397 101.550527) (xy 64.397 100.703) (xy 63.543044 100.703)
(xy 63.459704 100.91518) (xy 30.589079 100.91518) (xy 30.589079 98.83) (xy 30.501611 98.390267) (xy 30.252522 98.017478)
(xy 29.879733 97.768389) (xy 29.467 97.686292) (xy 29.467 95.476246)
)
)
(filled_polygon
(pts
(xy 86.901644 102.855858) (xy 86.887502 102.87) (xy 86.901644 102.884142) (xy 86.374142 103.411644) (xy 86.36 103.397502)
(xy 86.345858 103.411644) (xy 85.818356 102.884142) (xy 85.832498 102.87) (xy 85.818356 102.855858) (xy 86.345858 102.328356)
(xy 86.36 102.342498) (xy 86.374142 102.328356)
)
)
(filled_polygon
(pts
(xy 119.448 65.53941) (xy 119.257559 65.459942) (xy 118.637502 66.08) (xy 118.651644 66.094142) (xy 118.124142 66.621644)
(xy 118.11 66.607502) (xy 118.095858 66.621644) (xy 117.568356 66.094142) (xy 117.582498 66.08) (xy 116.962441 65.459942)
(xy 116.746184 65.550183) (xy 116.662225 66.027298) (xy 116.515194 65.288122) (xy 116.277535 64.932441) (xy 117.489942 64.932441)
(xy 118.11 65.552498) (xy 118.730058 64.932441) (xy 118.639817 64.716184) (xy 118.077578 64.617246) (xy 117.580183 64.716184)
(xy 117.489942 64.932441) (xy 116.277535 64.932441) (xy 116.168995 64.77) (xy 116.515194 64.251878) (xy 116.664752 63.5)
(xy 116.515194 62.748122) (xy 116.168995 62.23) (xy 116.515194 61.711878) (xy 116.664752 60.96) (xy 116.515194 60.208122)
(xy 116.089289 59.570711) (xy 115.451878 59.144806) (xy 114.889792 59.033) (xy 113.710208 59.033) (xy 113.148122 59.144806)
(xy 112.510711 59.570711) (xy 112.084806 60.208122) (xy 111.935248 60.96) (xy 112.084806 61.711878) (xy 112.431005 62.23)
(xy 112.084806 62.748122) (xy 111.935248 63.5) (xy 112.084806 64.251878) (xy 112.431005 64.77) (xy 112.084806 65.288122)
(xy 111.935248 66.04) (xy 112.084806 66.791878) (xy 112.431005 67.31) (xy 112.084806 67.828122) (xy 111.935248 68.58)
(xy 112.084806 69.331878) (xy 112.431005 69.85) (xy 112.084806 70.368122) (xy 111.935248 71.12) (xy 112.084806 71.871878)
(xy 112.431005 72.39) (xy 112.084806 72.908122) (xy 111.935248 73.66) (xy 112.084806 74.411878) (xy 112.431005 74.93)
(xy 112.084806 75.448122) (xy 111.935248 76.2) (xy 112.084806 76.951878) (xy 112.244808 77.191338) (xy 112.038389 77.500267)
(xy 111.950921 77.94) (xy 111.950921 79.54) (xy 111.993289 79.753) (xy 108.720718 79.753) (xy 108.895194 79.491878)
(xy 109.044752 78.74) (xy 108.895194 77.988122) (xy 108.548995 77.47) (xy 108.895194 76.951878) (xy 109.044752 76.2)
(xy 108.895194 75.448122) (xy 108.548995 74.93) (xy 108.895194 74.411878) (xy 109.044752 73.66) (xy 108.895194 72.908122)
(xy 108.548995 72.39) (xy 108.895194 71.871878) (xy 109.044752 71.12) (xy 108.895194 70.368122) (xy 108.469289 69.730711)
(xy 108.149584 69.517091) (xy 108.227902 69.447374) (xy 108.390265 69.165175) (xy 108.300527 68.953) (xy 107.053 68.953)
(xy 107.053 68.973) (xy 106.307 68.973) (xy 106.307 68.953) (xy 105.059473 68.953) (xy 104.969735 69.165175)
(xy 105.132098 69.447374) (xy 105.210416 69.517091) (xy 105.09681 69.593) (xy 102.566261 69.593) (xy 102.424588 69.651683)
(xy 102.274194 69.681598) (xy 102.146696 69.766789) (xy 102.005024 69.825472) (xy 101.896592 69.933904) (xy 101.769095 70.019095)
(xy 101.683904 70.146592) (xy 101.575472 70.255024) (xy 101.516789 70.396696) (xy 101.431598 70.524194) (xy 101.401683 70.674588)
(xy 101.343 70.816261) (xy 101.343 70.969607) (xy 101.313085 71.12) (xy 101.343 71.270393) (xy 101.343 71.423739)
(xy 101.401683 71.565412) (xy 101.431598 71.715806) (xy 101.516789 71.843304) (xy 101.575472 71.984976) (xy 101.683904 72.093408)
(xy 101.769095 72.220905) (xy 101.896592 72.306096) (xy 101.980496 72.39) (xy 101.896592 72.473904) (xy 101.769095 72.559095)
(xy 101.683904 72.686592) (xy 101.575472 72.795024) (xy 101.516789 72.936696) (xy 101.431598 73.064194) (xy 101.401683 73.214588)
(xy 101.343 73.356261) (xy 101.343 73.509607) (xy 101.313085 73.66) (xy 101.343 73.810393) (xy 101.343 73.963739)
(xy 101.401683 74.105412) (xy 101.431598 74.255806) (xy 101.516789 74.383304) (xy 101.575472 74.524976) (xy 101.683904 74.633408)
(xy 101.710359 74.673) (xy 100.661261 74.673) (xy 100.519588 74.731683) (xy 100.369194 74.761598) (xy 100.241696 74.846789)
(xy 100.100024 74.905472) (xy 99.991592 75.013904) (xy 99.864095 75.099095) (xy 99.778904 75.226592) (xy 99.670472 75.335024)
(xy 99.611789 75.476696) (xy 99.526598 75.604194) (xy 99.496683 75.754588) (xy 99.438 75.896261) (xy 99.438 76.049607)
(xy 99.408085 76.2) (xy 99.438 76.350393) (xy 99.438 76.503739) (xy 99.496683 76.645412) (xy 99.526598 76.795806)
(xy 99.611789 76.923304) (xy 99.670472 77.064976) (xy 99.778904 77.173408) (xy 99.805359 77.213) (xy 98.756261 77.213)
(xy 98.614588 77.271683) (xy 98.464194 77.301598) (xy 98.336696 77.386789) (xy 98.195024 77.445472) (xy 98.086592 77.553904)
(xy 97.959095 77.639095) (xy 97.873904 77.766592) (xy 97.765472 77.875024) (xy 97.706789 78.016696) (xy 97.621598 78.144194)
(xy 97.591683 78.294588) (xy 97.533 78.436261) (xy 97.533 78.589607) (xy 97.503085 78.74) (xy 97.533 78.890393)
(xy 97.533 79.043739) (xy 97.591683 79.185412) (xy 97.621598 79.335806) (xy 97.706789 79.463304) (xy 97.765472 79.604976)
(xy 97.873904 79.713408) (xy 97.900359 79.753) (xy 95.699296 79.753) (xy 96.227511 79.224785) (xy 96.597 78.33276)
(xy 96.597 77.36724) (xy 96.352514 76.777) (xy 96.37776 76.777) (xy 97.269785 76.407511) (xy 97.952511 75.724785)
(xy 98.322 74.83276) (xy 98.322 73.86724) (xy 97.952511 72.975215) (xy 97.269785 72.292489) (xy 96.817576 72.105178)
(xy 97.294785 71.907511) (xy 97.977511 71.224785) (xy 98.347 70.33276) (xy 98.347 69.36724) (xy 97.977511 68.475215)
(xy 97.294785 67.792489) (xy 96.830076 67.6) (xy 97.294785 67.407511) (xy 97.977511 66.724785) (xy 98.347 65.83276)
(xy 98.347 64.86724) (xy 98.342908 64.857361) (xy 98.464194 64.938402) (xy 98.614588 64.968317) (xy 98.756261 65.027)
(xy 99.805359 65.027) (xy 99.778904 65.066592) (xy 99.670472 65.175024) (xy 99.611789 65.316696) (xy 99.526598 65.444194)
(xy 99.496683 65.594588) (xy 99.438 65.736261) (xy 99.438 65.889607) (xy 99.408085 66.04) (xy 99.438 66.190393)
(xy 99.438 66.343739) (xy 99.496683 66.485412) (xy 99.526598 66.635806) (xy 99.611789 66.763304) (xy 99.670472 66.904976)
(xy 99.778904 67.013408) (xy 99.864095 67.140905) (xy 99.991591 67.226095) (xy 100.100024 67.334528) (xy 100.241696 67.393211)
(xy 100.369194 67.478402) (xy 100.519588 67.508317) (xy 100.661261 67.567) (xy 105.09681 67.567) (xy 105.210416 67.642909)
(xy 105.132098 67.712626) (xy 104.969735 67.994825) (xy 105.059473 68.207) (xy 106.307 68.207) (xy 106.307 68.187)
(xy 107.053 68.187) (xy 107.053 68.207) (xy 108.300527 68.207) (xy 108.390265 67.994825) (xy 108.227902 67.712626)
(xy 108.149584 67.642909) (xy 108.469289 67.429289) (xy 108.895194 66.791878) (xy 109.044752 66.04) (xy 108.895194 65.288122)
(xy 108.548995 64.77) (xy 108.895194 64.251878) (xy 109.044752 63.5) (xy 108.895194 62.748122) (xy 108.548995 62.23)
(xy 108.895194 61.711878) (xy 109.044752 60.96) (xy 108.895194 60.208122) (xy 108.469289 59.570711) (xy 108.22718 59.408938)
(xy 108.236914 59.36) (xy 108.207 59.209611) (xy 108.207 59.209607) (xy 108.118402 58.764194) (xy 107.780905 58.259095)
(xy 107.653406 58.173903) (xy 106.926098 57.446595) (xy 106.840905 57.319095) (xy 106.335806 56.981598) (xy 105.92945 56.900769)
(xy 105.961096 56.853408) (xy 106.069528 56.744976) (xy 106.128211 56.603304) (xy 106.213402 56.475806) (xy 106.243317 56.325412)
(xy 106.302 56.183739) (xy 106.302 56.030393) (xy 106.331915 55.88) (xy 106.302 55.729607) (xy 106.302 55.576261)
(xy 106.243317 55.434588) (xy 106.213402 55.284194) (xy 106.128211 55.156696) (xy 106.069528 55.015024) (xy 105.961096 54.906592)
(xy 105.875905 54.779095) (xy 105.748408 54.693904) (xy 105.639976 54.585472) (xy 105.498304 54.526789) (xy 105.370806 54.441598)
(xy 105.220412 54.411683) (xy 105.078739 54.353) (xy 104.36624 54.353) (xy 104.397 54.278739) (xy 104.397 54.125393)
(xy 104.426915 53.975) (xy 104.397 53.824607) (xy 104.397 53.671261) (xy 104.338317 53.529588) (xy 104.308402 53.379194)
(xy 104.223211 53.251696) (xy 104.164528 53.110024) (xy 104.056096 53.001592) (xy 103.970905 52.874095) (xy 103.843408 52.788904)
(xy 103.734976 52.680472) (xy 103.593304 52.621789) (xy 103.465806 52.536598) (xy 103.315412 52.506683) (xy 103.173739 52.448)
(xy 102.46124 52.448) (xy 102.492 52.373739) (xy 102.492 52.220393) (xy 102.521915 52.07) (xy 102.492 51.919607)
(xy 102.492 51.766261) (xy 102.433317 51.624588) (xy 102.403402 51.474194) (xy 102.318211 51.346696) (xy 102.259528 51.205024)
(xy 102.151096 51.096592) (xy 102.065905 50.969095) (xy 101.938408 50.883904) (xy 101.829976 50.775472) (xy 101.688304 50.716789)
(xy 101.560806 50.631598) (xy 101.410412 50.601683) (xy 101.268739 50.543) (xy 100.55624 50.543) (xy 100.587 50.468739)
(xy 100.587 50.315393) (xy 100.616915 50.165) (xy 100.587 50.014607) (xy 100.587 49.861261) (xy 100.528317 49.719588)
(xy 100.498402 49.569194) (xy 100.413211 49.441696) (xy 100.354528 49.300024) (xy 100.246096 49.191592) (xy 100.160905 49.064095)
(xy 100.033408 48.978904) (xy 99.924976 48.870472) (xy 99.783304 48.811789) (xy 99.655806 48.726598) (xy 99.505412 48.696683)
(xy 99.363739 48.638) (xy 81.430389 48.638) (xy 81.28 48.608086) (xy 81.129611 48.638) (xy 81.129607 48.638)
(xy 80.684194 48.726598) (xy 80.306591 48.978904) (xy 80.306589 48.978906) (xy 80.179095 49.064095) (xy 80.093906 49.191589)
(xy 78.401594 50.883903) (xy 78.274095 50.969095) (xy 77.936598 51.474195) (xy 77.848 51.919608) (xy 77.848 51.919611)
(xy 77.818086 52.07) (xy 77.848 52.220389) (xy 77.848001 69.154606) (xy 77.818086 69.305) (xy 77.936598 69.900805)
(xy 78.094362 70.136915) (xy 78.274096 70.405905) (xy 78.401593 70.491096) (xy 81.993 74.082504) (xy 81.993 74.83276)
(xy 82.362489 75.724785) (xy 83.045215 76.407511) (xy 83.93724 76.777) (xy 83.987486 76.777) (xy 83.743 77.36724)
(xy 83.743 78.33276) (xy 84.112489 79.224785) (xy 84.628784 79.74108) (xy 84.613086 79.82) (xy 84.643 79.970389)
(xy 84.643 79.970392) (xy 84.731598 80.415805) (xy 85.069095 80.920905) (xy 85.196594 81.006097) (xy 86.443906 82.25341)
(xy 86.529095 82.380905) (xy 86.656589 82.466094) (xy 86.656591 82.466096) (xy 86.840822 82.589195) (xy 87.034194 82.718402)
(xy 87.479607 82.807) (xy 87.479611 82.807) (xy 87.63 82.836914) (xy 87.780389 82.807) (xy 95.65876 82.807)
(xy 95.628 82.881261) (xy 95.628 83.034607) (xy 95.598085 83.185) (xy 95.628 83.335393) (xy 95.628 83.488739)
(xy 95.65876 83.563) (xy 80.976261 83.563) (xy 80.834588 83.621683) (xy 80.684194 83.651598) (xy 80.556696 83.736789)
(xy 80.415024 83.795472) (xy 80.306592 83.903904) (xy 80.179095 83.989095) (xy 80.093904 84.116592) (xy 79.985472 84.225024)
(xy 79.926789 84.366696) (xy 79.841598 84.494194) (xy 79.811683 84.644588) (xy 79.753 84.786261) (xy 79.753 84.939607)
(xy 79.723085 85.09) (xy 79.753 85.240393) (xy 79.753 85.393739) (xy 79.811683 85.535412) (xy 79.841598 85.685806)
(xy 79.926789 85.813304) (xy 79.985472 85.954976) (xy 80.093904 86.063408) (xy 80.179095 86.190905) (xy 80.306592 86.276096)
(xy 80.415024 86.384528) (xy 80.556696 86.443211) (xy 80.684194 86.528402) (xy 80.834588 86.558317) (xy 80.976261 86.617)
(xy 103.173739 86.617) (xy 103.315412 86.558317) (xy 103.465806 86.528402) (xy 103.593304 86.443211) (xy 103.734976 86.384528)
(xy 103.843408 86.276096) (xy 103.970905 86.190905) (xy 104.056096 86.063408) (xy 104.164528 85.954976) (xy 104.223211 85.813304)
(xy 104.308402 85.685806) (xy 104.338317 85.535412) (xy 104.397 85.393739) (xy 104.397 85.240393) (xy 104.426915 85.09)
(xy 104.397 84.939607) (xy 104.397 84.786261) (xy 104.36624 84.712) (xy 113.514611 84.712) (xy 113.665 84.741914)
(xy 113.815389 84.712) (xy 113.815393 84.712) (xy 114.260806 84.623402) (xy 114.765905 84.285905) (xy 114.851098 84.158405)
(xy 117.218 81.791503) (xy 117.218001 92.48376) (xy 117.143739 92.453) (xy 107.646261 92.453) (xy 107.504588 92.511683)
(xy 107.354194 92.541598) (xy 107.226696 92.626789) (xy 107.085024 92.685472) (xy 106.976592 92.793904) (xy 106.849095 92.879095)
(xy 106.763904 93.006592) (xy 106.655472 93.115024) (xy 106.596789 93.256696) (xy 106.511598 93.384194) (xy 106.481683 93.534588)
(xy 106.423 93.676261) (xy 106.423 93.829607) (xy 106.393085 93.98) (xy 106.423 94.130393) (xy 106.423 94.283739)
(xy 106.45376 94.358) (xy 79.525389 94.358) (xy 79.375 94.328086) (xy 79.224611 94.358) (xy 79.224607 94.358)
(xy 78.779194 94.446598) (xy 78.401591 94.698904) (xy 78.401589 94.698906) (xy 78.274095 94.784095) (xy 78.188906 94.911589)
(xy 76.496594 96.603903) (xy 76.466184 96.624222) (xy 76.486915 96.52) (xy 76.368402 95.924194) (xy 76.139261 95.581261)
(xy 76.030905 95.419095) (xy 75.903406 95.333903) (xy 75.481098 94.911595) (xy 75.395905 94.784095) (xy 74.890806 94.446598)
(xy 74.445393 94.358) (xy 74.445389 94.358) (xy 74.295 94.328086) (xy 74.144611 94.358) (xy 73.88624 94.358)
(xy 73.917 94.283739) (xy 73.917 94.130393) (xy 73.946915 93.98) (xy 73.917 93.829607) (xy 73.917 93.676261)
(xy 73.858317 93.534588) (xy 73.828402 93.384194) (xy 73.743211 93.256696) (xy 73.684528 93.115024) (xy 73.576096 93.006592)
(xy 73.490905 92.879095) (xy 73.363408 92.793904) (xy 73.254976 92.685472) (xy 73.113304 92.626789) (xy 72.985806 92.541598)
(xy 72.835412 92.511683) (xy 72.693739 92.453) (xy 59.91624 92.453) (xy 59.947 92.378739) (xy 59.947 92.225393)
(xy 59.976915 92.075) (xy 59.947 91.924607) (xy 59.947 91.810718) (xy 60.208122 91.985194) (xy 60.770208 92.097)
(xy 61.149792 92.097) (xy 61.711878 91.985194) (xy 62.349289 91.559289) (xy 62.775194 90.921878) (xy 62.924752 90.17)
(xy 62.848509 89.786696) (xy 71.733 89.786696) (xy 71.733 90.553304) (xy 72.026368 91.261558) (xy 72.568442 91.803632)
(xy 73.276696 92.097) (xy 74.043304 92.097) (xy 74.164015 92.047) (xy 80.775985 92.047) (xy 80.896696 92.097)
(xy 81.663304 92.097) (xy 82.371558 91.803632) (xy 82.913632 91.261558) (xy 83.207 90.553304) (xy 83.207 90.17)
(xy 92.015248 90.17) (xy 92.164806 90.921878) (xy 92.590711 91.559289) (xy 93.228122 91.985194) (xy 93.790208 92.097)
(xy 94.169792 92.097) (xy 94.731878 91.985194) (xy 95.369289 91.559289) (xy 95.795194 90.921878) (xy 95.944752 90.17)
(xy 95.795194 89.418122) (xy 95.369289 88.780711) (xy 94.731878 88.354806) (xy 94.169792 88.243) (xy 93.790208 88.243)
(xy 93.228122 88.354806) (xy 92.590711 88.780711) (xy 92.164806 89.418122) (xy 92.015248 90.17) (xy 83.207 90.17)
(xy 83.207 89.786696) (xy 82.913632 89.078442) (xy 82.371558 88.536368) (xy 81.663304 88.243) (xy 80.896696 88.243)
(xy 80.775985 88.293) (xy 74.164015 88.293) (xy 74.043304 88.243) (xy 73.276696 88.243) (xy 72.568442 88.536368)
(xy 72.026368 89.078442) (xy 71.733 89.786696) (xy 62.848509 89.786696) (xy 62.775194 89.418122) (xy 62.349289 88.780711)
(xy 61.711878 88.354806) (xy 61.149792 88.243) (xy 60.770208 88.243) (xy 60.208122 88.354806) (xy 59.570711 88.780711)
(xy 59.144806 89.418122) (xy 58.995248 90.17) (xy 59.099135 90.692277) (xy 59.015806 90.636598) (xy 58.865412 90.606683)
(xy 58.723739 90.548) (xy 29.099641 90.548) (xy 29.126094 90.508411) (xy 29.126096 90.508409) (xy 29.378402 90.130806)
(xy 29.404103 90.001598) (xy 29.467 89.685393) (xy 29.467 89.685389) (xy 29.496914 89.535) (xy 29.467 89.384611)
(xy 29.467 79.150995) (xy 29.534806 79.491878) (xy 29.960711 80.129289) (xy 30.223001 80.304546) (xy 30.223001 81.129606)
(xy 30.193086 81.28) (xy 30.311598 81.875805) (xy 30.522142 82.190905) (xy 30.649096 82.380905) (xy 30.776592 82.466095)
(xy 31.198902 82.888405) (xy 31.284095 83.015905) (xy 31.573872 83.209528) (xy 31.789194 83.353402) (xy 32.385 83.471915)
(xy 32.535393 83.442) (xy 42.848739 83.442) (xy 42.990412 83.383317) (xy 43.140806 83.353402) (xy 43.268304 83.268211)
(xy 43.409976 83.209528) (xy 43.518409 83.101095) (xy 43.645905 83.015905) (xy 43.731096 82.888408) (xy 43.839528 82.779976)
(xy 43.898211 82.638304) (xy 43.983402 82.510806) (xy 44.013317 82.360412) (xy 44.072 82.218739) (xy 44.072 82.065393)
(xy 44.101915 81.915) (xy 44.072 81.764607) (xy 44.072 81.611261) (xy 44.013317 81.469588) (xy 43.983402 81.319194)
(xy 43.898211 81.191696) (xy 43.839528 81.050024) (xy 43.731096 80.941592) (xy 43.645905 80.814095) (xy 43.518408 80.728904)
(xy 43.409976 80.620472) (xy 43.268304 80.561789) (xy 43.140806 80.476598) (xy 42.990412 80.446683) (xy 42.848739 80.388)
(xy 40.772101 80.388) (xy 40.95319 80.267) (xy 44.753735 80.267) (xy 44.895408 80.208317) (xy 45.045802 80.178402)
(xy 45.1733 80.093211) (xy 45.314972 80.034528) (xy 45.423404 79.926096) (xy 45.550901 79.840905) (xy 45.636092 79.713408)
(xy 45.744524 79.604976) (xy 45.803207 79.463304) (xy 45.888398 79.335806) (xy 45.918313 79.185412) (xy 45.976996 79.043739)
(xy 45.976996 78.890393) (xy 46.006911 78.74) (xy 45.976996 78.589607) (xy 45.976996 78.436261) (xy 45.918313 78.294588)
(xy 45.888398 78.144194) (xy 45.803207 78.016696) (xy 45.744524 77.875024) (xy 45.636092 77.766592) (xy 45.609637 77.727)
(xy 49.399611 77.727) (xy 49.55 77.756914) (xy 49.700389 77.727) (xy 49.700393 77.727) (xy 50.145806 77.638402)
(xy 50.650905 77.300905) (xy 50.736098 77.173405) (xy 50.8508 77.058703) (xy 50.723 77.36724) (xy 50.723 78.33276)
(xy 51.092489 79.224785) (xy 51.623 79.755296) (xy 51.623 80.93961) (xy 51.593086 81.09) (xy 51.623 81.240389)
(xy 51.623 81.240392) (xy 51.711598 81.685805) (xy 52.049095 82.190905) (xy 52.176595 82.276098) (xy 52.788902 82.888405)
(xy 52.874095 83.015905) (xy 53.379194 83.353402) (xy 53.824607 83.442) (xy 53.82461 83.442) (xy 53.974999 83.471914)
(xy 54.125388 83.442) (xy 61.444611 83.442) (xy 61.595 83.471914) (xy 61.745389 83.442) (xy 61.745393 83.442)
(xy 62.190806 83.353402) (xy 62.695905 83.015905) (xy 62.781098 82.888405) (xy 71.458408 74.211096) (xy 71.585905 74.125905)
(xy 71.923402 73.620806) (xy 72.012 73.175393) (xy 72.041915 73.025) (xy 72.012 72.874607) (xy 72.012 53.490389)
(xy 72.041914 53.34) (xy 72.012 53.189611) (xy 72.012 53.189607) (xy 71.923402 52.744194) (xy 71.585905 52.239095)
(xy 71.458409 52.153905) (xy 63.416098 44.111595) (xy 63.330905 43.984095) (xy 63.035932 43.787) (xy 71.612522 43.787)
(xy 73.168 45.342479) (xy 73.168001 78.913539) (xy 73.270234 79.427498) (xy 73.65967 80.010331) (xy 74.242503 80.399767)
(xy 74.93 80.536519) (xy 75.617498 80.399767) (xy 76.200331 80.010331) (xy 76.589767 79.427498) (xy 76.692 78.913539)
(xy 76.692 47.52175) (xy 113.435 47.52175) (xy 113.435 48.616309) (xy 113.531673 48.849698) (xy 113.710301 49.028327)
(xy 113.94369 49.125) (xy 115.03825 49.125) (xy 115.197 48.96625) (xy 115.197 47.363) (xy 115.943 47.363)
(xy 115.943 48.96625) (xy 116.10175 49.125) (xy 117.19631 49.125) (xy 117.429699 49.028327) (xy 117.608327 48.849698)
(xy 117.705 48.616309) (xy 117.705 47.52175) (xy 117.54625 47.363) (xy 115.943 47.363) (xy 115.197 47.363)
(xy 113.59375 47.363) (xy 113.435 47.52175) (xy 76.692 47.52175) (xy 76.692 45.363691) (xy 113.435 45.363691)
(xy 113.435 46.45825) (xy 113.59375 46.617) (xy 115.197 46.617) (xy 115.197 45.01375) (xy 115.943 45.01375)
(xy 115.943 46.617) (xy 117.54625 46.617) (xy 117.705 46.45825) (xy 117.705 45.363691) (xy 117.608327 45.130302)
(xy 117.429699 44.951673) (xy 117.19631 44.855) (xy 116.10175 44.855) (xy 115.943 45.01375) (xy 115.197 45.01375)
(xy 115.03825 44.855) (xy 113.94369 44.855) (xy 113.710301 44.951673) (xy 113.531673 45.130302) (xy 113.435 45.363691)
(xy 76.692 45.363691) (xy 76.692 45.342478) (xy 78.247479 43.787) (xy 113.731861 43.787) (xy 114.081924 44.137063)
(xy 115.047457 44.537) (xy 116.092543 44.537) (xy 117.058076 44.137063) (xy 117.797063 43.398076) (xy 118.197 42.432543)
(xy 118.197 41.387457) (xy 117.797063 40.421924) (xy 117.058076 39.682937) (xy 116.138413 39.302) (xy 119.448 39.302)
)
)
)
(zone (net 1) (net_name GND) (layer F.Cu) (tstamp 58B1C783) (hatch edge 0.508)
(connect_pads (clearance 1))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 1))
(polygon
(pts
(xy 120.65 119.38) (xy 22.86 119.38) (xy 22.86 38.1) (xy 120.65 38.1)
)
)
(filled_polygon
(pts
(xy 26.451924 39.682937) (xy 25.712937 40.421924) (xy 25.313 41.387457) (xy 25.313 42.432543) (xy 25.712937 43.398076)
(xy 26.451924 44.137063) (xy 27.417457 44.537) (xy 28.462543 44.537) (xy 29.428076 44.137063) (xy 30.167063 43.398076)
(xy 30.567 42.432543) (xy 30.567 41.387457) (xy 30.167063 40.421924) (xy 29.428076 39.682937) (xy 28.508413 39.302)
(xy 115.001587 39.302) (xy 114.081924 39.682937) (xy 113.342937 40.421924) (xy 112.943 41.387457) (xy 112.943 42.432543)
(xy 113.342937 43.398076) (xy 114.081924 44.137063) (xy 115.047457 44.537) (xy 116.092543 44.537) (xy 117.058076 44.137063)
(xy 117.797063 43.398076) (xy 118.197 42.432543) (xy 118.197 41.387457) (xy 117.797063 40.421924) (xy 117.058076 39.682937)
(xy 116.138413 39.302) (xy 119.448 39.302) (xy 119.448 65.53941) (xy 119.257559 65.459942) (xy 118.637502 66.08)
(xy 118.651644 66.094142) (xy 118.124142 66.621644) (xy 118.11 66.607502) (xy 118.095858 66.621644) (xy 117.568356 66.094142)
(xy 117.582498 66.08) (xy 116.962441 65.459942) (xy 116.746184 65.550183) (xy 116.662225 66.027298) (xy 116.515194 65.288122)
(xy 116.277535 64.932441) (xy 117.489942 64.932441) (xy 118.11 65.552498) (xy 118.730058 64.932441) (xy 118.639817 64.716184)
(xy 118.077578 64.617246) (xy 117.580183 64.716184) (xy 117.489942 64.932441) (xy 116.277535 64.932441) (xy 116.168995 64.77)
(xy 116.515194 64.251878) (xy 116.664752 63.5) (xy 116.515194 62.748122) (xy 116.168995 62.23) (xy 116.515194 61.711878)
(xy 116.664752 60.96) (xy 116.515194 60.208122) (xy 116.089289 59.570711) (xy 115.827 59.395455) (xy 115.827 56.665393)
(xy 115.856915 56.515) (xy 115.738402 55.919194) (xy 115.558548 55.650024) (xy 115.400905 55.414095) (xy 115.273406 55.328903)
(xy 114.851098 54.906595) (xy 114.765905 54.779095) (xy 114.260806 54.441598) (xy 113.815393 54.353) (xy 113.815389 54.353)
(xy 113.665 54.323086) (xy 113.514611 54.353) (xy 104.471261 54.353) (xy 104.397 54.38376) (xy 104.397 53.671261)
(xy 104.338317 53.529588) (xy 104.308402 53.379194) (xy 104.223211 53.251696) (xy 104.164528 53.110024) (xy 104.056096 53.001592)
(xy 103.970905 52.874095) (xy 103.843408 52.788904) (xy 103.734976 52.680472) (xy 103.593304 52.621789) (xy 103.465806 52.536598)
(xy 103.315412 52.506683) (xy 103.173739 52.448) (xy 103.020393 52.448) (xy 102.87 52.418085) (xy 102.719607 52.448)
(xy 102.566261 52.448) (xy 102.492 52.47876) (xy 102.492 51.766261) (xy 102.433317 51.624588) (xy 102.403402 51.474194)
(xy 102.318211 51.346696) (xy 102.259528 51.205024) (xy 102.151096 51.096592) (xy 102.065905 50.969095) (xy 101.938408 50.883904)
(xy 101.829976 50.775472) (xy 101.688304 50.716789) (xy 101.560806 50.631598) (xy 101.410412 50.601683) (xy 101.268739 50.543)
(xy 101.115393 50.543) (xy 100.965 50.513085) (xy 100.814607 50.543) (xy 100.661261 50.543) (xy 100.587 50.57376)
(xy 100.587 49.861261) (xy 100.528317 49.719588) (xy 100.498402 49.569194) (xy 100.413211 49.441696) (xy 100.354528 49.300024)
(xy 100.246096 49.191592) (xy 100.160905 49.064095) (xy 100.033408 48.978904) (xy 99.924976 48.870472) (xy 99.783304 48.811789)
(xy 99.655806 48.726598) (xy 99.505412 48.696683) (xy 99.363739 48.638) (xy 99.210393 48.638) (xy 99.06 48.608085)
(xy 98.909607 48.638) (xy 98.756261 48.638) (xy 98.614588 48.696683) (xy 98.464195 48.726598) (xy 98.336699 48.811788)
(xy 98.195024 48.870472) (xy 98.08659 48.978906) (xy 97.959096 49.064095) (xy 97.873907 49.191589) (xy 97.765472 49.300024)
(xy 97.706788 49.4417) (xy 97.621599 49.569194) (xy 97.591685 49.719584) (xy 97.533 49.861261) (xy 97.533 50.468739)
(xy 97.533001 50.468741) (xy 97.533 63.196261) (xy 97.533 63.530704) (xy 97.294785 63.292489) (xy 96.40276 62.923)
(xy 96.352514 62.923) (xy 96.597 62.33276) (xy 96.597 61.36724) (xy 96.227511 60.475215) (xy 95.697 59.944704)
(xy 95.697 57.592503) (xy 96.436096 56.853408) (xy 96.544528 56.744976) (xy 96.603211 56.603304) (xy 96.688402 56.475806)
(xy 96.718317 56.325412) (xy 96.777 56.183739) (xy 96.777 56.030393) (xy 96.806915 55.88) (xy 96.777 55.729607)
(xy 96.777 55.576261) (xy 96.718317 55.434588) (xy 96.688402 55.284195) (xy 96.603211 55.156698) (xy 96.544528 55.015024)
(xy 96.436095 54.906591) (xy 96.350905 54.779095) (xy 96.223408 54.693904) (xy 96.114976 54.585472) (xy 95.973302 54.526789)
(xy 95.845805 54.441598) (xy 95.695412 54.411683) (xy 95.553739 54.353) (xy 95.400393 54.353) (xy 95.25 54.323085)
(xy 95.099607 54.353) (xy 94.946261 54.353) (xy 94.804588 54.411683) (xy 94.654194 54.441598) (xy 94.526696 54.526789)
(xy 94.385024 54.585472) (xy 94.276592 54.693904) (xy 93.196592 55.773905) (xy 93.069096 55.859095) (xy 92.983905 55.986592)
(xy 92.731598 56.364195) (xy 92.613086 56.96) (xy 92.643001 57.110394) (xy 92.643 59.944704) (xy 92.486715 60.100989)
(xy 92.227511 59.475215) (xy 91.544785 58.792489) (xy 90.65276 58.423) (xy 89.68724 58.423) (xy 88.795215 58.792489)
(xy 88.112489 59.475215) (xy 87.853285 60.100989) (xy 87.544785 59.792489) (xy 86.65276 59.423) (xy 85.68724 59.423)
(xy 84.795215 59.792489) (xy 84.112489 60.475215) (xy 83.743 61.36724) (xy 83.743 62.33276) (xy 83.987486 62.923)
(xy 83.93724 62.923) (xy 83.045215 63.292489) (xy 82.362489 63.975215) (xy 81.993 64.86724) (xy 81.993 65.617496)
(xy 80.306593 67.303904) (xy 80.179096 67.389095) (xy 80.093905 67.516592) (xy 79.841598 67.894195) (xy 79.723086 68.49)
(xy 79.753001 68.640394) (xy 79.753 84.786261) (xy 79.753 85.393739) (xy 79.811683 85.535413) (xy 79.841598 85.685805)
(xy 79.926789 85.813302) (xy 79.985472 85.954976) (xy 80.093905 86.063409) (xy 80.179095 86.190905) (xy 80.306592 86.276096)
(xy 80.415024 86.384528) (xy 80.556696 86.443211) (xy 80.684194 86.528402) (xy 80.834588 86.558317) (xy 80.976261 86.617)
(xy 81.129607 86.617) (xy 81.28 86.646915) (xy 81.430393 86.617) (xy 81.583739 86.617) (xy 81.725412 86.558317)
(xy 81.875805 86.528402) (xy 82.003302 86.443211) (xy 82.144976 86.384528) (xy 82.253409 86.276095) (xy 82.380905 86.190905)
(xy 82.466096 86.063408) (xy 82.574528 85.954976) (xy 82.633211 85.813304) (xy 82.718402 85.685806) (xy 82.748317 85.535412)
(xy 82.807 85.393739) (xy 82.807 76.169296) (xy 83.045215 76.407511) (xy 83.93724 76.777) (xy 83.987486 76.777)
(xy 83.743 77.36724) (xy 83.743 78.33276) (xy 84.112489 79.224785) (xy 84.795215 79.907511) (xy 85.68724 80.277)
(xy 86.65276 80.277) (xy 87.544785 79.907511) (xy 87.853285 79.599011) (xy 88.112489 80.224785) (xy 88.795215 80.907511)
(xy 89.68724 81.277) (xy 90.65276 81.277) (xy 91.544785 80.907511) (xy 92.227511 80.224785) (xy 92.453001 79.680405)
(xy 92.453 88.98681) (xy 92.164806 89.418122) (xy 92.015248 90.17) (xy 92.164806 90.921878) (xy 92.590711 91.559289)
(xy 93.228122 91.985194) (xy 93.790208 92.097) (xy 94.169792 92.097) (xy 94.731878 91.985194) (xy 95.369289 91.559289)
(xy 95.795194 90.921878) (xy 95.944752 90.17) (xy 95.795194 89.418122) (xy 95.507 88.98681) (xy 95.507 79.923162)
(xy 95.544785 79.907511) (xy 95.628001 79.824295) (xy 95.628001 82.881259) (xy 95.628 82.881261) (xy 95.628 83.488739)
(xy 95.686685 83.630416) (xy 95.716599 83.780806) (xy 95.801788 83.9083) (xy 95.860472 84.049976) (xy 95.968907 84.158411)
(xy 96.054096 84.285905) (xy 96.18159 84.371094) (xy 96.290024 84.479528) (xy 96.431699 84.538212) (xy 96.559195 84.623402)
(xy 96.709588 84.653317) (xy 96.851261 84.712) (xy 97.004607 84.712) (xy 97.155 84.741915) (xy 97.305393 84.712)
(xy 97.458739 84.712) (xy 97.600412 84.653317) (xy 97.750806 84.623402) (xy 97.878304 84.538211) (xy 98.019976 84.479528)
(xy 98.128408 84.371096) (xy 98.255905 84.285905) (xy 98.341096 84.158408) (xy 98.449528 84.049976) (xy 98.508211 83.908304)
(xy 98.593402 83.780806) (xy 98.623317 83.630412) (xy 98.682 83.488739) (xy 98.682 80.23624) (xy 98.756261 80.267)
(xy 98.909607 80.267) (xy 99.06 80.296915) (xy 99.210393 80.267) (xy 99.363739 80.267) (xy 99.505412 80.208317)
(xy 99.655805 80.178402) (xy 99.783302 80.093211) (xy 99.924976 80.034528) (xy 100.033409 79.926095) (xy 100.160905 79.840905)
(xy 100.246096 79.713408) (xy 100.354528 79.604976) (xy 100.413211 79.463304) (xy 100.498402 79.335806) (xy 100.528317 79.185412)
(xy 100.587 79.043739) (xy 100.587 77.69624) (xy 100.661261 77.727) (xy 100.814607 77.727) (xy 100.965 77.756915)
(xy 101.115393 77.727) (xy 101.268739 77.727) (xy 101.343 77.69624) (xy 101.343001 84.786259) (xy 101.343 84.786261)
(xy 101.343 85.393739) (xy 101.401685 85.535416) (xy 101.431599 85.685806) (xy 101.516788 85.8133) (xy 101.575472 85.954976)
(xy 101.683907 86.063411) (xy 101.769096 86.190905) (xy 101.89659 86.276094) (xy 102.005024 86.384528) (xy 102.146699 86.443212)
(xy 102.274195 86.528402) (xy 102.424588 86.558317) (xy 102.566261 86.617) (xy 102.719607 86.617) (xy 102.87 86.646915)
(xy 103.020393 86.617) (xy 103.173739 86.617) (xy 103.315412 86.558317) (xy 103.465806 86.528402) (xy 103.593304 86.443211)
(xy 103.734976 86.384528) (xy 103.843408 86.276096) (xy 103.970905 86.190905) (xy 104.056096 86.063408) (xy 104.164528 85.954976)
(xy 104.223211 85.813304) (xy 104.308402 85.685806) (xy 104.338317 85.535412) (xy 104.397 85.393739) (xy 104.397 79.150995)
(xy 104.464806 79.491878) (xy 104.890711 80.129289) (xy 105.528122 80.555194) (xy 106.090208 80.667) (xy 107.269792 80.667)
(xy 107.831878 80.555194) (xy 108.328001 80.223695) (xy 108.328 92.48376) (xy 108.253739 92.453) (xy 108.100393 92.453)
(xy 107.95 92.423085) (xy 107.799607 92.453) (xy 107.646261 92.453) (xy 107.504588 92.511683) (xy 107.354195 92.541598)
(xy 107.226699 92.626788) (xy 107.085024 92.685472) (xy 106.97659 92.793906) (xy 106.849096 92.879095) (xy 106.763907 93.006589)
(xy 106.655472 93.115024) (xy 106.596788 93.2567) (xy 106.511599 93.384194) (xy 106.481685 93.534584) (xy 106.423 93.676261)
(xy 106.423 94.283739) (xy 106.423001 94.283741) (xy 106.423 107.952495) (xy 105.412496 108.963) (xy 84.190718 108.963)
(xy 84.365194 108.701878) (xy 84.514752 107.95) (xy 84.365194 107.198122) (xy 84.190718 106.937) (xy 84.778513 106.937)
(xy 84.979607 106.977) (xy 85.13 107.006915) (xy 85.248211 106.983401) (xy 85.268442 107.003632) (xy 85.976696 107.297)
(xy 86.743304 107.297) (xy 87.451558 107.003632) (xy 87.993632 106.461558) (xy 88.287 105.753304) (xy 88.287 104.986696)
(xy 87.993632 104.278442) (xy 87.505413 103.790223) (xy 87.65657 103.639066) (xy 87.507561 103.490057) (xy 87.723816 103.399817)
(xy 87.822754 102.837578) (xy 87.723816 102.340183) (xy 87.507559 102.249942) (xy 86.887502 102.87) (xy 86.901644 102.884142)
(xy 86.374142 103.411644) (xy 86.36 103.397502) (xy 86.345858 103.411644) (xy 85.818356 102.884142) (xy 85.832498 102.87)
(xy 85.212441 102.249942) (xy 84.996184 102.340183) (xy 84.897246 102.902422) (xy 84.996184 103.399817) (xy 85.212439 103.490057)
(xy 85.06343 103.639066) (xy 85.214587 103.790223) (xy 85.141483 103.863327) (xy 85.09 103.853086) (xy 84.939611 103.883)
(xy 82.700393 103.883) (xy 82.55 103.853085) (xy 82.399607 103.883) (xy 67.460393 103.883) (xy 67.31 103.853085)
(xy 67.159607 103.883) (xy 61.070389 103.883) (xy 60.92 103.853086) (xy 60.769611 103.883) (xy 53.972505 103.883)
(xy 51.004684 100.91518) (xy 63.459704 100.91518) (xy 63.783383 101.372064) (xy 64.184825 101.640265) (xy 64.397 101.550527)
(xy 64.397 100.703) (xy 63.543044 100.703) (xy 63.459704 100.91518) (xy 51.004684 100.91518) (xy 49.446098 99.356595)
(xy 49.360905 99.229095) (xy 48.855806 98.891598) (xy 48.410393 98.803) (xy 48.410389 98.803) (xy 48.26 98.773086)
(xy 48.109611 98.803) (xy 37.354641 98.803) (xy 37.381096 98.763408) (xy 37.489528 98.654976) (xy 37.548211 98.513304)
(xy 37.633402 98.385806) (xy 37.663317 98.235412) (xy 37.722 98.093739) (xy 37.722 80.223695) (xy 38.218122 80.555194)
(xy 38.780208 80.667) (xy 39.959792 80.667) (xy 40.521878 80.555194) (xy 41.018 80.223695) (xy 41.018 82.218739)
(xy 41.076683 82.360413) (xy 41.106598 82.510805) (xy 41.191789 82.638302) (xy 41.250472 82.779976) (xy 41.358905 82.888409)
(xy 41.444095 83.015905) (xy 41.571592 83.101096) (xy 41.680024 83.209528) (xy 41.821696 83.268211) (xy 41.949194 83.353402)
(xy 42.099588 83.383317) (xy 42.241261 83.442) (xy 42.394607 83.442) (xy 42.545 83.471915) (xy 42.695393 83.442)
(xy 42.848739 83.442) (xy 42.990412 83.383317) (xy 43.140805 83.353402) (xy 43.268302 83.268211) (xy 43.409976 83.209528)
(xy 43.518409 83.101095) (xy 43.645905 83.015905) (xy 43.731096 82.888408) (xy 43.839528 82.779976) (xy 43.898211 82.638304)
(xy 43.983402 82.510806) (xy 44.013317 82.360412) (xy 44.072 82.218739) (xy 44.072 80.236242) (xy 44.146257 80.267)
(xy 44.299607 80.267) (xy 44.449996 80.296914) (xy 44.600385 80.267) (xy 44.753735 80.267) (xy 44.89541 80.208316)
(xy 45.045801 80.178402) (xy 45.173297 80.093212) (xy 45.314972 80.034528) (xy 45.423408 79.926092) (xy 45.550905 79.840901)
(xy 45.636097 79.713403) (xy 45.744524 79.604976) (xy 45.803204 79.463309) (xy 45.888402 79.335802) (xy 45.918319 79.185397)
(xy 45.976996 79.043739) (xy 45.976996 78.890409) (xy 45.977 78.890389) (xy 46.006915 78.739996) (xy 45.977 78.589603)
(xy 45.977 70.076246) (xy 46.051247 70.107) (xy 46.204593 70.107) (xy 46.354986 70.136915) (xy 46.505379 70.107)
(xy 46.658725 70.107) (xy 46.800398 70.048317) (xy 46.950791 70.018402) (xy 47.078288 69.933211) (xy 47.219962 69.874528)
(xy 47.328395 69.766095) (xy 47.455891 69.680905) (xy 47.541082 69.553408) (xy 47.649514 69.444976) (xy 47.708197 69.303304)
(xy 47.793388 69.175806) (xy 47.823303 69.025412) (xy 47.881986 68.883739) (xy 47.881986 62.456243) (xy 47.956241 62.487)
(xy 48.109587 62.487) (xy 48.25998 62.516915) (xy 48.410373 62.487) (xy 48.563719 62.487) (xy 48.638 62.456232)
(xy 48.638 62.533739) (xy 48.696683 62.675413) (xy 48.726598 62.825805) (xy 48.811789 62.953302) (xy 48.870472 63.094976)
(xy 48.978905 63.203409) (xy 49.064095 63.330905) (xy 49.191592 63.416096) (xy 49.300024 63.524528) (xy 49.441696 63.583211)
(xy 49.569194 63.668402) (xy 49.636011 63.681693) (xy 49.342489 63.975215) (xy 48.973 64.86724) (xy 48.973 65.83276)
(xy 49.342489 66.724785) (xy 50.025215 67.407511) (xy 50.489924 67.6) (xy 50.025215 67.792489) (xy 49.342489 68.475215)
(xy 48.973 69.36724) (xy 48.973 70.33276) (xy 49.342489 71.224785) (xy 50.025215 71.907511) (xy 50.489924 72.1)
(xy 50.025215 72.292489) (xy 49.342489 72.975215) (xy 48.973 73.86724) (xy 48.973 74.83276) (xy 49.342489 75.724785)
(xy 50.025215 76.407511) (xy 50.91724 76.777) (xy 50.967486 76.777) (xy 50.723 77.36724) (xy 50.723 78.33276)
(xy 51.092489 79.224785) (xy 51.775215 79.907511) (xy 52.66724 80.277) (xy 53.63276 80.277) (xy 54.524785 79.907511)
(xy 54.833285 79.599011) (xy 55.092489 80.224785) (xy 55.775215 80.907511) (xy 56.66724 81.277) (xy 57.63276 81.277)
(xy 58.524785 80.907511) (xy 59.207511 80.224785) (xy 59.433001 79.680405) (xy 59.433 88.98681) (xy 59.144806 89.418122)
(xy 58.995248 90.17) (xy 59.099135 90.692277) (xy 59.015806 90.636598) (xy 58.865412 90.606683) (xy 58.723739 90.548)
(xy 58.570393 90.548) (xy 58.42 90.518085) (xy 58.269607 90.548) (xy 58.116261 90.548) (xy 57.974588 90.606683)
(xy 57.824195 90.636598) (xy 57.696699 90.721788) (xy 57.555024 90.780472) (xy 57.44659 90.888906) (xy 57.319096 90.974095)
(xy 57.233907 91.101589) (xy 57.125472 91.210024) (xy 57.066788 91.3517) (xy 56.981599 91.479194) (xy 56.951685 91.629584)
(xy 56.893 91.771261) (xy 56.893 92.378739) (xy 56.893001 92.378741) (xy 56.893 96.369611) (xy 56.863086 96.52)
(xy 56.893 96.670389) (xy 56.893 96.670392) (xy 56.981598 97.115805) (xy 57.319095 97.620905) (xy 57.446594 97.706098)
(xy 58.503904 98.763408) (xy 58.589095 98.890905) (xy 59.094194 99.228402) (xy 59.539607 99.317) (xy 59.53961 99.317)
(xy 59.689999 99.346914) (xy 59.840388 99.317) (xy 63.762793 99.317) (xy 63.459704 99.74482) (xy 63.543044 99.957)
(xy 64.397 99.957) (xy 64.397 99.937) (xy 65.143 99.937) (xy 65.143 99.957) (xy 65.163 99.957)
(xy 65.163 100.703) (xy 65.143 100.703) (xy 65.143 101.550527) (xy 65.355175 101.640265) (xy 65.709663 101.403434)
(xy 65.920711 101.719289) (xy 66.558122 102.145194) (xy 67.120208 102.257) (xy 67.499792 102.257) (xy 68.061878 102.145194)
(xy 68.58 101.798995) (xy 69.098122 102.145194) (xy 69.660208 102.257) (xy 70.039792 102.257) (xy 70.601878 102.145194)
(xy 71.12 101.798995) (xy 71.638122 102.145194) (xy 72.200208 102.257) (xy 72.579792 102.257) (xy 73.141878 102.145194)
(xy 73.66 101.798995) (xy 74.178122 102.145194) (xy 74.740208 102.257) (xy 75.119792 102.257) (xy 75.681878 102.145194)
(xy 76.2 101.798995) (xy 76.718122 102.145194) (xy 77.280208 102.257) (xy 77.659792 102.257) (xy 78.221878 102.145194)
(xy 78.74 101.798995) (xy 79.258122 102.145194) (xy 79.820208 102.257) (xy 80.199792 102.257) (xy 80.761878 102.145194)
(xy 81.001338 101.985192) (xy 81.310267 102.191611) (xy 81.75 102.279079) (xy 83.35 102.279079) (xy 83.789733 102.191611)
(xy 84.162522 101.942522) (xy 84.309575 101.722441) (xy 85.739942 101.722441) (xy 86.36 102.342498) (xy 86.980058 101.722441)
(xy 86.889817 101.506184) (xy 86.327578 101.407246) (xy 85.830183 101.506184) (xy 85.739942 101.722441) (xy 84.309575 101.722441)
(xy 84.411611 101.569733) (xy 84.499079 101.13) (xy 84.499079 99.53) (xy 84.411611 99.090267) (xy 84.162522 98.717478)
(xy 83.789733 98.468389) (xy 83.35 98.380921) (xy 81.75 98.380921) (xy 81.310267 98.468389) (xy 81.001338 98.674808)
(xy 80.761878 98.514806) (xy 80.199792 98.403) (xy 79.820208 98.403) (xy 79.258122 98.514806) (xy 78.74 98.861005)
(xy 78.221878 98.514806) (xy 77.659792 98.403) (xy 77.280208 98.403) (xy 76.718122 98.514806) (xy 76.2 98.861005)
(xy 75.681878 98.514806) (xy 75.119792 98.403) (xy 74.740208 98.403) (xy 74.178122 98.514806) (xy 73.917 98.689282)
(xy 73.917 93.676261) (xy 73.858317 93.534588) (xy 73.828402 93.384194) (xy 73.743211 93.256696) (xy 73.684528 93.115024)
(xy 73.576096 93.006592) (xy 73.490905 92.879095) (xy 73.363409 92.793905) (xy 73.254976 92.685472) (xy 73.113302 92.626789)
(xy 72.985805 92.541598) (xy 72.835412 92.511683) (xy 72.693739 92.453) (xy 72.540393 92.453) (xy 72.39 92.423085)
(xy 72.239607 92.453) (xy 72.086261 92.453) (xy 71.944588 92.511683) (xy 71.794194 92.541598) (xy 71.666696 92.626789)
(xy 71.525024 92.685472) (xy 71.416592 92.793904) (xy 71.289095 92.879095) (xy 71.203905 93.006591) (xy 71.095472 93.115024)
(xy 71.036789 93.256698) (xy 70.951598 93.384195) (xy 70.921683 93.534587) (xy 70.863 93.676261) (xy 70.863 93.829608)
(xy 70.863001 97.900359) (xy 70.823408 97.873904) (xy 69.766098 96.816594) (xy 69.680905 96.689095) (xy 69.175806 96.351598)
(xy 68.730393 96.263) (xy 68.730389 96.263) (xy 68.58 96.233086) (xy 68.429611 96.263) (xy 60.322504 96.263)
(xy 59.947 95.887497) (xy 59.947 91.810718) (xy 60.208122 91.985194) (xy 60.770208 92.097) (xy 61.149792 92.097)
(xy 61.711878 91.985194) (xy 62.349289 91.559289) (xy 62.775194 90.921878) (xy 62.924752 90.17) (xy 62.848509 89.786696)
(xy 71.733 89.786696) (xy 71.733 90.553304) (xy 72.026368 91.261558) (xy 72.568442 91.803632) (xy 73.276696 92.097)
(xy 74.043304 92.097) (xy 74.751558 91.803632) (xy 75.293632 91.261558) (xy 75.587 90.553304) (xy 75.587 89.786696)
(xy 79.353 89.786696) (xy 79.353 90.553304) (xy 79.646368 91.261558) (xy 80.188442 91.803632) (xy 80.896696 92.097)
(xy 81.663304 92.097) (xy 82.371558 91.803632) (xy 82.913632 91.261558) (xy 83.207 90.553304) (xy 83.207 89.786696)
(xy 82.913632 89.078442) (xy 82.371558 88.536368) (xy 81.663304 88.243) (xy 80.896696 88.243) (xy 80.188442 88.536368)
(xy 79.646368 89.078442) (xy 79.353 89.786696) (xy 75.587 89.786696) (xy 75.422 89.38835) (xy 75.422 80.739843)
(xy 76.298632 79.863212) (xy 76.589766 79.427499) (xy 76.726519 78.740001) (xy 76.589766 78.052503) (xy 76.20033 77.46967)
(xy 75.617497 77.080234) (xy 74.929999 76.943481) (xy 74.242501 77.080234) (xy 73.806788 77.371368) (xy 72.536792 78.641364)
(xy 72.389669 78.739669) (xy 72.000233 79.322503) (xy 71.944046 79.604976) (xy 71.863481 80.01) (xy 71.898 80.183537)
(xy 71.898001 89.388348) (xy 71.733 89.786696) (xy 62.848509 89.786696) (xy 62.775194 89.418122) (xy 62.487 88.98681)
(xy 62.487 79.923162) (xy 62.524785 79.907511) (xy 63.207511 79.224785) (xy 63.577 78.33276) (xy 63.577 77.36724)
(xy 63.332514 76.777) (xy 63.35776 76.777) (xy 64.249785 76.407511) (xy 64.932511 75.724785) (xy 65.302 74.83276)
(xy 65.302 73.86724) (xy 64.932511 72.975215) (xy 64.249785 72.292489) (xy 63.797576 72.105178) (xy 64.274785 71.907511)
(xy 64.957511 71.224785) (xy 65.327 70.33276) (xy 65.327 69.36724) (xy 64.957511 68.475215) (xy 64.274785 67.792489)
(xy 63.810076 67.6) (xy 64.274785 67.407511) (xy 64.957511 66.724785) (xy 65.327 65.83276) (xy 65.327 64.86724)
(xy 64.957511 63.975215) (xy 64.274785 63.292489) (xy 63.38276 62.923) (xy 63.332514 62.923) (xy 63.577 62.33276)
(xy 63.577 61.36724) (xy 63.207511 60.475215) (xy 62.524785 59.792489) (xy 62.487 59.776838) (xy 62.487 48.591261)
(xy 62.428317 48.449588) (xy 62.398402 48.299194) (xy 62.313211 48.171696) (xy 62.254528 48.030024) (xy 62.146096 47.921592)
(xy 62.060905 47.794095) (xy 61.933409 47.708905) (xy 61.824976 47.600472) (xy 61.683302 47.541789) (xy 61.653312 47.52175)
(xy 113.435 47.52175) (xy 113.435 48.616309) (xy 113.531673 48.849698) (xy 113.710301 49.028327) (xy 113.94369 49.125)
(xy 115.03825 49.125) (xy 115.197 48.96625) (xy 115.197 47.363) (xy 115.943 47.363) (xy 115.943 48.96625)
(xy 116.10175 49.125) (xy 117.19631 49.125) (xy 117.429699 49.028327) (xy 117.608327 48.849698) (xy 117.705 48.616309)
(xy 117.705 47.52175) (xy 117.54625 47.363) (xy 115.943 47.363) (xy 115.197 47.363) (xy 113.59375 47.363)
(xy 113.435 47.52175) (xy 61.653312 47.52175) (xy 61.555805 47.456598) (xy 61.405412 47.426683) (xy 61.263739 47.368)
(xy 61.110393 47.368) (xy 60.96 47.338085) (xy 60.809607 47.368) (xy 60.656261 47.368) (xy 60.514588 47.426683)
(xy 60.364194 47.456598) (xy 60.236696 47.541789) (xy 60.095024 47.600472) (xy 59.986592 47.708904) (xy 59.859095 47.794095)
(xy 59.773905 47.921591) (xy 59.665472 48.030024) (xy 59.606789 48.171698) (xy 59.521598 48.299195) (xy 59.491683 48.449587)
(xy 59.433 48.591261) (xy 59.433 48.744608) (xy 59.433001 60.019595) (xy 59.207511 59.475215) (xy 58.677 58.944704)
(xy 58.677 51.103749) (xy 58.677004 51.103739) (xy 58.677004 50.950393) (xy 58.706919 50.8) (xy 58.677004 50.649607)
(xy 58.677004 50.496261) (xy 58.618321 50.354588) (xy 58.588406 50.204195) (xy 58.503215 50.076698) (xy 58.444532 49.935024)
(xy 58.336099 49.826591) (xy 58.250909 49.699095) (xy 58.123412 49.613904) (xy 58.01498 49.505472) (xy 57.873306 49.446789)
(xy 57.745809 49.361598) (xy 57.595416 49.331683) (xy 57.453743 49.273) (xy 57.300397 49.273) (xy 57.150004 49.243085)
(xy 56.999611 49.273) (xy 56.846265 49.273) (xy 56.704592 49.331683) (xy 56.554199 49.361598) (xy 56.426703 49.446788)
(xy 56.285028 49.505472) (xy 56.176591 49.613909) (xy 56.049095 49.699099) (xy 55.963904 49.826596) (xy 55.855476 49.935024)
(xy 55.796796 50.076691) (xy 55.711598 50.204198) (xy 55.681681 50.354603) (xy 55.623004 50.496261) (xy 55.623004 50.649591)
(xy 55.623 50.649611) (xy 55.623 50.649615) (xy 55.593086 50.800004) (xy 55.623 50.950393) (xy 55.623 51.585392)
(xy 55.623001 51.585397) (xy 55.623 58.944704) (xy 55.092489 59.475215) (xy 54.867 60.019593) (xy 54.867 52.401261)
(xy 54.808317 52.259588) (xy 54.778402 52.109194) (xy 54.693211 51.981696) (xy 54.634528 51.840024) (xy 54.526096 51.731592)
(xy 54.440905 51.604095) (xy 54.313408 51.518904) (xy 54.204976 51.410472) (xy 54.063302 51.351789) (xy 53.935805 51.266598)
(xy 53.785412 51.236683) (xy 53.643739 51.178) (xy 53.490393 51.178) (xy 53.34 51.148085) (xy 53.189607 51.178)
(xy 53.036261 51.178) (xy 52.894588 51.236683) (xy 52.744194 51.266598) (xy 52.616696 51.351789) (xy 52.475024 51.410472)
(xy 52.366592 51.518904) (xy 52.239095 51.604095) (xy 52.153905 51.731591) (xy 52.045472 51.840024) (xy 51.986789 51.981698)
(xy 51.901598 52.109195) (xy 51.871683 52.259587) (xy 51.813 52.401261) (xy 51.813 52.554608) (xy 51.813001 59.776838)
(xy 51.775215 59.792489) (xy 51.692 59.875704) (xy 51.692 56.818792) (xy 51.692022 56.818739) (xy 51.692022 56.66539)
(xy 51.721936 56.515001) (xy 51.692022 56.364612) (xy 51.692022 56.211261) (xy 51.633338 56.069586) (xy 51.603424 55.919195)
(xy 51.518233 55.791698) (xy 51.45955 55.650024) (xy 51.351117 55.541591) (xy 51.265927 55.414095) (xy 51.138431 55.328905)
(xy 51.029998 55.220472) (xy 50.888324 55.161789) (xy 50.760827 55.076598) (xy 50.610436 55.046684) (xy 50.468761 54.988)
(xy 50.31541 54.988) (xy 50.165021 54.958086) (xy 50.014632 54.988) (xy 49.861283 54.988) (xy 49.78698 55.018777)
(xy 49.78698 54.913816) (xy 49.787012 54.913739) (xy 49.787012 54.76039) (xy 49.816926 54.610001) (xy 49.787012 54.459612)
(xy 49.787012 54.306261) (xy 49.728328 54.164586) (xy 49.698414 54.014195) (xy 49.613224 53.8867) (xy 49.55454 53.745024)
(xy 49.446104 53.636588) (xy 49.360916 53.509096) (xy 49.233424 53.423908) (xy 49.124988 53.315472) (xy 48.983312 53.256788)
(xy 48.855817 53.171598) (xy 48.705426 53.141684) (xy 48.563751 53.083) (xy 48.4104 53.083) (xy 48.260011 53.053086)
(xy 48.109622 53.083) (xy 47.956273 53.083) (xy 47.881986 53.113771) (xy 47.881986 53.008749) (xy 47.88199 53.008739)
(xy 47.88199 52.855393) (xy 47.911905 52.705) (xy 47.88199 52.554607) (xy 47.88199 52.401261) (xy 47.823307 52.259588)
(xy 47.793392 52.109195) (xy 47.708201 51.981698) (xy 47.649518 51.840024) (xy 47.541085 51.731591) (xy 47.455895 51.604095)
(xy 47.328398 51.518904) (xy 47.219966 51.410472) (xy 47.078292 51.351789) (xy 46.950795 51.266598) (xy 46.800402 51.236683)
(xy 46.658729 51.178) (xy 46.505383 51.178) (xy 46.35499 51.148085) (xy 46.204597 51.178) (xy 46.051251 51.178)
(xy 45.977 51.208756) (xy 45.977 50.496275) (xy 45.918317 50.354602) (xy 45.888402 50.204208) (xy 45.803211 50.07671)
(xy 45.744528 49.935038) (xy 45.636096 49.826606) (xy 45.550905 49.699109) (xy 45.423409 49.613919) (xy 45.314976 49.505486)
(xy 45.173302 49.446803) (xy 45.045805 49.361612) (xy 44.895412 49.331697) (xy 44.753739 49.273014) (xy 44.600393 49.273014)
(xy 44.45 49.243099) (xy 44.299607 49.273014) (xy 44.146261 49.273014) (xy 44.072 49.303774) (xy 44.072 48.591261)
(xy 44.013317 48.449588) (xy 43.983402 48.299194) (xy 43.898211 48.171696) (xy 43.839528 48.030024) (xy 43.731096 47.921592)
(xy 43.645905 47.794095) (xy 43.518408 47.708904) (xy 43.409976 47.600472) (xy 43.268304 47.541789) (xy 43.140806 47.456598)
(xy 42.990412 47.426683) (xy 42.848739 47.368) (xy 42.695393 47.368) (xy 42.545 47.338085) (xy 42.394607 47.368)
(xy 42.241261 47.368) (xy 42.167 47.39876) (xy 42.167 46.686261) (xy 42.108317 46.544588) (xy 42.078402 46.394194)
(xy 41.993211 46.266696) (xy 41.934528 46.125024) (xy 41.826096 46.016592) (xy 41.740905 45.889095) (xy 41.613409 45.803905)
(xy 41.504976 45.695472) (xy 41.363302 45.636789) (xy 41.235805 45.551598) (xy 41.085412 45.521683) (xy 40.943739 45.463)
(xy 40.790393 45.463) (xy 40.64 45.433085) (xy 40.489607 45.463) (xy 40.336261 45.463) (xy 40.262 45.49376)
(xy 40.262 45.363691) (xy 113.435 45.363691) (xy 113.435 46.45825) (xy 113.59375 46.617) (xy 115.197 46.617)
(xy 115.197 45.01375) (xy 115.943 45.01375) (xy 115.943 46.617) (xy 117.54625 46.617) (xy 117.705 46.45825)
(xy 117.705 45.363691) (xy 117.608327 45.130302) (xy 117.429699 44.951673) (xy 117.19631 44.855) (xy 116.10175 44.855)
(xy 115.943 45.01375) (xy 115.197 45.01375) (xy 115.03825 44.855) (xy 113.94369 44.855) (xy 113.710301 44.951673)
(xy 113.531673 45.130302) (xy 113.435 45.363691) (xy 40.262 45.363691) (xy 40.262 44.781261) (xy 40.203317 44.639588)
(xy 40.173402 44.489194) (xy 40.088211 44.361696) (xy 40.029528 44.220024) (xy 39.921096 44.111592) (xy 39.835905 43.984095)
(xy 39.708408 43.898904) (xy 39.599976 43.790472) (xy 39.458304 43.731789) (xy 39.330806 43.646598) (xy 39.180412 43.616683)
(xy 39.038739 43.558) (xy 38.885393 43.558) (xy 38.735 43.528085) (xy 38.584607 43.558) (xy 38.431261 43.558)
(xy 38.289588 43.616683) (xy 38.139195 43.646598) (xy 38.011699 43.731788) (xy 37.870024 43.790472) (xy 37.76159 43.898906)
(xy 37.634096 43.984095) (xy 37.548907 44.111589) (xy 37.440472 44.220024) (xy 37.381788 44.3617) (xy 37.296599 44.489194)
(xy 37.266685 44.639584) (xy 37.208 44.781261) (xy 37.208 45.388739) (xy 37.208001 45.388741) (xy 37.208 56.893)
(xy 32.840389 56.893) (xy 32.69 56.863086) (xy 32.539611 56.893) (xy 32.539607 56.893) (xy 32.094194 56.981598)
(xy 31.589095 57.319095) (xy 31.503902 57.446595) (xy 30.776593 58.173904) (xy 30.649096 58.259095) (xy 30.563905 58.386592)
(xy 30.311598 58.764195) (xy 30.287389 58.885905) (xy 30.250678 59.07046) (xy 30.110267 59.098389) (xy 29.737478 59.347478)
(xy 29.488389 59.720267) (xy 29.400921 60.16) (xy 29.400921 61.76) (xy 29.488389 62.199733) (xy 29.694808 62.508662)
(xy 29.534806 62.748122) (xy 29.385248 63.5) (xy 29.534806 64.251878) (xy 29.881005 64.77) (xy 29.534806 65.288122)
(xy 29.385248 66.04) (xy 29.534806 66.791878) (xy 29.881005 67.31) (xy 29.534806 67.828122) (xy 29.393247 68.539785)
(xy 29.303816 68.090183) (xy 29.087559 67.999942) (xy 28.467502 68.62) (xy 28.481644 68.634142) (xy 27.954142 69.161644)
(xy 27.94 69.147502) (xy 27.925858 69.161644) (xy 27.398356 68.634142) (xy 27.412498 68.62) (xy 26.792441 67.999942)
(xy 26.576184 68.090183) (xy 26.477246 68.652422) (xy 26.576184 69.149817) (xy 26.792439 69.240057) (xy 26.64343 69.389066)
(xy 26.794587 69.540223) (xy 26.306368 70.028442) (xy 26.013 70.736696) (xy 26.013 71.503304) (xy 26.306368 72.211558)
(xy 26.848442 72.753632) (xy 27.556696 73.047) (xy 28.323304 73.047) (xy 29.031558 72.753632) (xy 29.573632 72.211558)
(xy 29.64563 72.037738) (xy 29.881005 72.39) (xy 29.534806 72.908122) (xy 29.433605 73.416891) (xy 26.966595 75.883902)
(xy 26.839095 75.969095) (xy 26.501598 76.474195) (xy 26.413 76.919608) (xy 26.413 76.919611) (xy 26.383086 77.07)
(xy 26.413 77.220389) (xy 26.413001 91.358918) (xy 26.413 91.358923) (xy 26.413 92.378739) (xy 26.471683 92.520412)
(xy 26.501598 92.670806) (xy 26.586789 92.798304) (xy 26.645472 92.939976) (xy 26.753904 93.048408) (xy 26.839095 93.175905)
(xy 26.966591 93.261095) (xy 27.075024 93.369528) (xy 27.216698 93.428211) (xy 27.344195 93.513402) (xy 27.494588 93.543317)
(xy 27.636261 93.602) (xy 27.789607 93.602) (xy 27.94 93.631915) (xy 28.090393 93.602) (xy 28.223001 93.602)
(xy 28.223001 93.734612) (xy 28.193086 93.885006) (xy 28.311598 94.480811) (xy 28.543201 94.827429) (xy 28.550472 94.844982)
(xy 28.563907 94.858417) (xy 28.649096 94.985911) (xy 28.77659 95.0711) (xy 28.980024 95.274534) (xy 29.121699 95.333218)
(xy 29.249195 95.418408) (xy 29.399587 95.448323) (xy 29.541261 95.507006) (xy 29.69461 95.507006) (xy 29.844999 95.53692)
(xy 29.995388 95.507006) (xy 30.148739 95.507006) (xy 30.290414 95.448322) (xy 30.440805 95.418408) (xy 30.5683 95.333218)
(xy 30.709976 95.274534) (xy 30.818412 95.166098) (xy 30.945904 95.08091) (xy 31.031092 94.953418) (xy 31.139528 94.844982)
(xy 31.198212 94.703306) (xy 31.283402 94.575811) (xy 31.313316 94.42542) (xy 31.372 94.283745) (xy 31.372 94.130393)
(xy 31.401914 93.980005) (xy 31.372 93.829616) (xy 31.372 93.676267) (xy 31.313317 93.534593) (xy 31.283402 93.384201)
(xy 31.277 93.37462) (xy 31.277 80.667) (xy 32.339792 80.667) (xy 32.763 80.582819) (xy 32.763001 95.581259)
(xy 32.763 95.581261) (xy 32.763 96.188739) (xy 32.821685 96.330416) (xy 32.851599 96.480806) (xy 32.936788 96.6083)
(xy 32.995472 96.749976) (xy 33.103907 96.858411) (xy 33.189096 96.985905) (xy 33.31659 97.071094) (xy 33.425024 97.179528)
(xy 33.566699 97.238212) (xy 33.694195 97.323402) (xy 33.844588 97.353317) (xy 33.986261 97.412) (xy 34.139607 97.412)
(xy 34.29 97.441915) (xy 34.440393 97.412) (xy 34.593739 97.412) (xy 34.668001 97.38124) (xy 34.668001 97.486259)
(xy 34.668 97.486261) (xy 34.668 98.093739) (xy 34.726685 98.235416) (xy 34.756599 98.385806) (xy 34.841788 98.5133)
(xy 34.900472 98.654976) (xy 35.008907 98.763411) (xy 35.03536 98.803) (xy 30.583708 98.803) (xy 30.501611 98.390267)
(xy 30.252522 98.017478) (xy 29.879733 97.768389) (xy 29.44 97.680921) (xy 26.44 97.680921) (xy 26.000267 97.768389)
(xy 25.627478 98.017478) (xy 25.378389 98.390267) (xy 25.290921 98.83) (xy 25.290921 101.83) (xy 25.378389 102.269733)
(xy 25.627478 102.642522) (xy 26.000267 102.891611) (xy 26.44 102.979079) (xy 26.944081 102.979079) (xy 26.451924 103.182937)
(xy 25.712937 103.921924) (xy 25.313 104.887457) (xy 25.313 105.932543) (xy 25.712937 106.898076) (xy 26.451924 107.637063)
(xy 27.20742 107.95) (xy 26.451924 108.262937) (xy 25.712937 109.001924) (xy 25.313 109.967457) (xy 25.313 111.012543)
(xy 25.712937 111.978076) (xy 26.451924 112.717063) (xy 27.20742 113.03) (xy 26.451924 113.342937) (xy 25.712937 114.081924)
(xy 25.313 115.047457) (xy 25.313 116.092543) (xy 25.712937 117.058076) (xy 26.451924 117.797063) (xy 27.371587 118.178)
(xy 24.062 118.178) (xy 24.062 67.472441) (xy 27.319942 67.472441) (xy 27.94 68.092498) (xy 28.560058 67.472441)
(xy 28.469817 67.256184) (xy 27.907578 67.157246) (xy 27.410183 67.256184) (xy 27.319942 67.472441) (xy 24.062 67.472441)
(xy 24.062 47.52175) (xy 25.805 47.52175) (xy 25.805 48.616309) (xy 25.901673 48.849698) (xy 26.080301 49.028327)
(xy 26.31369 49.125) (xy 27.40825 49.125) (xy 27.567 48.96625) (xy 27.567 47.363) (xy 28.313 47.363)
(xy 28.313 48.96625) (xy 28.47175 49.125) (xy 29.56631 49.125) (xy 29.799699 49.028327) (xy 29.978327 48.849698)
(xy 30.075 48.616309) (xy 30.075 47.52175) (xy 29.91625 47.363) (xy 28.313 47.363) (xy 27.567 47.363)
(xy 25.96375 47.363) (xy 25.805 47.52175) (xy 24.062 47.52175) (xy 24.062 45.363691) (xy 25.805 45.363691)
(xy 25.805 46.45825) (xy 25.96375 46.617) (xy 27.567 46.617) (xy 27.567 45.01375) (xy 28.313 45.01375)
(xy 28.313 46.617) (xy 29.91625 46.617) (xy 30.075 46.45825) (xy 30.075 45.363691) (xy 29.978327 45.130302)
(xy 29.799699 44.951673) (xy 29.56631 44.855) (xy 28.47175 44.855) (xy 28.313 45.01375) (xy 27.567 45.01375)
(xy 27.40825 44.855) (xy 26.31369 44.855) (xy 26.080301 44.951673) (xy 25.901673 45.130302) (xy 25.805 45.363691)
(xy 24.062 45.363691) (xy 24.062 39.302) (xy 27.371587 39.302)
)
)
(filled_polygon
(pts
(xy 75.303 107.577) (xy 75.323 107.577) (xy 75.323 108.323) (xy 75.303 108.323) (xy 75.303 109.170527)
(xy 75.515175 109.260265) (xy 75.869663 109.023434) (xy 75.943001 109.133191) (xy 75.943 113.667496) (xy 74.297497 115.313)
(xy 56.512504 115.313) (xy 56.398182 115.198679) (xy 56.515 115.221915) (xy 56.665393 115.192) (xy 70.153739 115.192)
(xy 70.295412 115.133317) (xy 70.445806 115.103402) (xy 70.573304 115.018211) (xy 70.714976 114.959528) (xy 70.823408 114.851096)
(xy 70.950905 114.765905) (xy 71.036096 114.638408) (xy 71.144528 114.529976) (xy 71.203211 114.388304) (xy 71.288402 114.260806)
(xy 71.318317 114.110412) (xy 71.377 113.968739) (xy 71.377 113.815393) (xy 71.406915 113.665) (xy 71.377 113.514607)
(xy 71.377 113.361261) (xy 71.318317 113.219588) (xy 71.288402 113.069194) (xy 71.203211 112.941696) (xy 71.144528 112.800024)
(xy 71.036096 112.691592) (xy 70.950905 112.564095) (xy 70.823408 112.478904) (xy 70.714976 112.370472) (xy 70.573304 112.311789)
(xy 70.445806 112.226598) (xy 70.295412 112.196683) (xy 70.153739 112.138) (xy 57.147504 112.138) (xy 57.026504 112.017)
(xy 72.693739 112.017) (xy 72.835412 111.958317) (xy 72.985806 111.928402) (xy 73.113304 111.843211) (xy 73.254976 111.784528)
(xy 73.363409 111.676095) (xy 73.490905 111.590905) (xy 73.576096 111.463408) (xy 73.684528 111.354976) (xy 73.743211 111.213304)
(xy 73.828402 111.085806) (xy 73.858317 110.935412) (xy 73.917 110.793739) (xy 73.917 110.640393) (xy 73.946915 110.49)
(xy 73.917 110.339607) (xy 73.917 110.186261) (xy 73.858317 110.044588) (xy 73.828402 109.894194) (xy 73.743211 109.766696)
(xy 73.684528 109.625024) (xy 73.576095 109.516591) (xy 73.55691 109.487878) (xy 73.779289 109.339289) (xy 73.990337 109.023434)
(xy 74.344825 109.260265) (xy 74.557 109.170527) (xy 74.557 108.323) (xy 74.537 108.323) (xy 74.537 107.577)
(xy 74.557 107.577) (xy 74.557 107.557) (xy 75.303 107.557)
)
)
(filled_polygon
(pts
(xy 39.743 70.747) (xy 39.763 70.747) (xy 39.763 71.493) (xy 39.743 71.493) (xy 39.743 71.513)
(xy 38.997 71.513) (xy 38.997 71.493) (xy 38.977 71.493) (xy 38.977 70.747) (xy 38.997 70.747)
(xy 38.997 70.727) (xy 39.743 70.727)
)
)
(filled_polygon
(pts
(xy 104.464806 66.791878) (xy 104.890711 67.429289) (xy 105.210416 67.642909) (xy 105.132098 67.712626) (xy 104.969735 67.994825)
(xy 105.059473 68.207) (xy 106.307 68.207) (xy 106.307 68.187) (xy 107.053 68.187) (xy 107.053 68.207)
(xy 107.073 68.207) (xy 107.073 68.953) (xy 107.053 68.953) (xy 107.053 68.973) (xy 106.307 68.973)
(xy 106.307 68.953) (xy 105.059473 68.953) (xy 104.969735 69.165175) (xy 105.132098 69.447374) (xy 105.210416 69.517091)
(xy 104.890711 69.730711) (xy 104.464806 70.368122) (xy 104.397 70.709005) (xy 104.397 66.450995)
)
)
)
)